汽车尾灯控制器设计实习报告.

时间:2019-05-14 19:26:27下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《汽车尾灯控制器设计实习报告.》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《汽车尾灯控制器设计实习报告.》。

第一篇:汽车尾灯控制器设计实习报告.

计算机与信息工程系 《模拟电子技术》 课程设计报告 专业计算机科学与技术 班级**二班 学号B11111111 姓名陈强军

报告完成日期2013年01月06日 指导教师秦** 评语: 成绩: 批阅教师签名:批阅时间: 汽车尾灯控制器设计 摘要

随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列问题。比如,因为汽车突然转向所引发的车祸经常出现。如果汽车转弯可以通过尾灯的状态变化来确定,就可以提示司机、行人朋友们车子正在转弯,可以在很大程度上避免车祸的发生。

本次实习设计一个汽车尾灯控制电路,控制汽车尾部左右两侧各有的3个指示灯,在汽车转弯时相应的灯亮,给出指示。并结合硬件描述语言ANSI(或DIN和开发

工具Multisim对控制电路进行编译、逻辑综合、波形仿真和编程下载等设计。通过逻辑设计这些实际操作,在进一步强化电路设计能力的同时,也进一步地掌握Multisim软件的开发流程和使用方法等。

关键词:汽车尾灯控制,ANSI,Multisim,编译,仿真 Automobile Tail Light Controller Design ABSTRACT This internship a car tail lights control circuit design,control of automobile tail about some3lights on both sides,in the car when they turn the lights,the instructions are given.Combined with hardware description language ANSI(or DINand development tools Multisim to compile the control circuit,logic synthesis,waveform simulation and programming downloads,etc.Through the logic design the practical operation,in further strengthen the ability of circuit design,and further to Multisim software development process and method of use,etc.Keywords:car tail lights control circuit design,ANSI,Multisim, compile,simulation 目录 前言(1 第一章任务分析(2 1.1课题选择(2 1.2设计条件(2 1.3设目计的(2 第二章功能描述(3

2.1汽车尾灯显示状态与汽车运行状况的关系(3 2.2汽车尾灯控制器描述(3 第三章电路设计(5 3.1模式控制电路(5 3.3译码与显示驱动电路(7 第四章仿真模拟(9 4.1模式控制电路的仿真与测试(9 4.2三进制计数器的仿真与测试(15 4.3译码及尾灯状态限制、驱动电路的仿真与测试(16 4.4完整仿真电路的测试(16 结论(21 谢辞(22 参考文献(23 附录(24 前言

本课题要求设计一个汽车尾灯的控制电路。该电路是用于反映汽车在运行时的状态,汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和正常行驶时,指示灯按照指定要求闪烁。假设汽车尾灯左右两侧各有三个指示灯(用发光二极管模拟,要求是:汽车正常远行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序

点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分: 首先,通过脉冲信号发生器发出脉冲信号,该脉冲信号用于提供给触发器和刹车时的输入信号。

两个触发器用于产生三进制的的循环信号,此信号提供左转、右转的原始信号。

两个开关及与非门、非门、异或门等元件构成整个电路的控制部分,并产生控制信号,输入译码器。

译码器主要对原始信号进行分拣处理,并把处理过的信号输入驱动电路、尾灯状态显示电路,并共同达成实验目的。

第一章任务分析 1.1课题选择

本课题共有以下六项可选内容:

1、多路抢答器:实现4人抢答时每人的分数累加,倒计时显示答题时间。

2、调节电子表:显示小时、分钟和秒;按键调节时间。

3、运算器:完成加减乘除运算和与或异或逻辑运算;给出溢出标志。

4、地址译码器:用开发板设计一个I/O地址译码电路。

5、汽车尾灯控制器:实现对汽车左右各3个尾灯的控制。6、256个端口交通灯控制器:实现对十字路口三种信号灯的控制,并显示时间。我通过对六项课题进行分析,考虑到时间上有所限制以并综合仿真软件以及自身实力等多方因素,最终选择汽车尾灯控制器的电路设计课题。

1.2设计条件

本次课题是基于对《数字逻辑》这门课程的学习、了解、掌握的前提下开展进行的,主要任务是控制电路的设计。设计条件为:电脑一台、Multisim软件、参考书及网络等。

1.3设目计的

设计一个汽车尾灯控制器,实现对汽车尾灯显示状体的控制。在汽车尾部左右两侧各有3个指示灯(这里采用发光二极管模拟。设计尾灯控制电路,用Multisim软件模拟出汽车运行状况下,指示灯具有的4种不同的显示模式:①汽车正常行驶时,左右两侧的指示灯全部熄灭。②当汽车右转弯时,右侧3个指示灯按右循顺序点亮。③当汽车左转弯时,左侧3个指示灯按左循顺序点亮。④临时刹车时,所有指示灯同时闪烁。

第二章功能描述

2.1汽车尾灯显示状态与汽车运行状况的关系

设置两个状态控制变量来区分汽车尾灯的四种不同的显示模式,需设置2个状态控制变量。假定用开关K1,K2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表2-1所示。

表2-1汽车尾灯显示状态与汽车运行状况的关系 控制变量

K1K2汽车运行状态 左侧的三个指示灯 D L1D L2D L3 右侧的三个指示灯

D R1D R2D R3 00正向行驶熄灭状态

01右转弯行驶熄灭状态按D R1D R2D R3顺序点亮10左转弯行驶按D L1D L2D L3顺序点亮熄灭状态

11临时刹车左右两侧的指示灯在时钟脉冲CP作用下同时闪烁2.2汽车尾灯控制器描述

在汽车左右转弯行驶时,由于3个指示灯被循环顺序点亮,所以可以用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。由于汽车左转弯时,三个灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D L3、D L2、D L1、D R3、D R2、D R1与开关控制变量K1和K0,计数器的状态Q1、Q0以及时钟脉冲CP 之间关系,如表2-2所示(‘1’表示点亮,‘0’表示熄灭。

表2-2汽车尾灯控制器功能表

控制变量K 1K 2计数器状态Q 1Q 2汽车尾灯D L1 D L2D L3D R1 D R2D R30 d d 0000000 1 00110000000 0001000100011

0011 ***00001 1 d d CP CP CP CP CP CP 根据以上设计分析与表2-2功能描述,可以得出汽车尾灯控制器的结构框图,如图2.1所示。

图2.1汽车尾灯控制器的结构框图

K 2 K 1 第三章电路设计 3.1模式控制电路

根据原理框图可知,整体电路需要:模式控制电路、三进制计数器构成电路、尾灯状态显示电路、译码与显示电路等三大部分。首先是模式控制电路。

设译码与显示驱动电路的使能控制信号为E和F,E与译码器74LS138的使能输入端E1相连接,F与显示驱动电路中与门的一个输入端相连接。由总体逻辑功能可知,E和F与开关控制变量K1,K0,以及时钟脉冲CP之间的关系如表3-1所示: 表3-1使能控制信号与模式控制变量、时钟脉冲的关系 逻辑开关K1K0脉 冲 作 用 CP 使 能 信 号 E F

电路理论工作状态

00无01译码器不工作,输出均为高,与门输出为高尾灯全部熄灭 01无11译码器在控制器作用下工作,显示驱动取决于译码输出,右尾灯 循环点亮 10无11 译码器在控制器作用下工作,显示驱动取决于译码输出,左尾灯 循环点亮 11CP0CP 译码器不工作,输出均为高,时钟信号经过与门使尾灯全部共同 闪烁

根据表3-5可求出使能控制信号E 和F 的逻辑表达式为E =K 1K 0+K 1K 0=K 1⊕K 0 F =K 1K 0+K 1K 0+K 1K 0+K 1K 0CP =K 1+K 0+K 1K 0CP =K 1K 0+CP =K 1K 0CP 根据G 和F 的逻辑表达式,可画出模式控制电路,如图 3.1所示:

3.1模式控制电路

三进制计数器的状态表入表3-2所示。

三进制计数器可用触发器级联构成,采用CP 下降沿触发的JK 触发器,当CP 由1跳变为0时,触发器的输出依据J 和K 的状态而定。表3-3为J-K 触发器的状态表。

现态Q 1Q 2次态 11+n Q 1 2+n Q 0001101 1 011000d d 表3-2三进制计数器的状态表J K 1+n Q 说明0011 0101

n Q 01 n Q 输出状态不变同J 端状态同J 端状态输出状态翻转 表3-3J-K 触发器的状态表 3.2三进制计数器

三进制计数器可用触发器级联构成,同时也可由集成计数器改造,考虑到直接用计数器改比用触发器构成计数器的电路结构简单,而且Multisim 软件中常以十六进制计数器74F112N 实现J-K 触发器的功能,因此设计中我们选用计数器74F112N 来改成三进制计数器。由以上74F112N 的功能表可知,当把Q A 与Q B 输入与非门,输出端接在CLEAR 端,即可以通过反馈清零的方法做出三进制计数器,即

Q A 与Q B 实现

00—01—10—00的循环,其电路结构如图 3.2所示。

图 3.2三进制计数器电路图 3.3译码与显示驱动电路

汽车尾灯电路如图3.3所示,其显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8译码器74LS138和6个与非门构成。74LS138的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=1,S2=0时,使能信号A =G =1,计数器的状态为00,01,10时,74LS138对应的输出端0Y、1Y、2Y 依次为0有效(3Y、4Y、5Y 信号为“1”无效,即反相器G1~G3的输出也依次为0,故指示灯D3→D2→D1按顺序点亮示意汽车左转弯。若上述条件不变,而S1=0,S2=1时,则74LS138对应的输出端4Y、5Y、6Y 依次为0有效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮示

意汽车右转弯。当G=0,A=1时,74LS138的输出端全为1,G1~G6的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。

图3.3译码及尾灯状态显示、驱动电路 第四章仿真模拟

4.1模式控制电路的仿真与测试

确保各个模块能够准确实现各自功能,是整体电路能完整准确实现预期功能的前提和保障。完整的仿真模拟包括两大部分:电路仿真模拟和对仿真电路的功能测试。为保证对整个电路仿真模拟的顺利进行,首先需要分模块进行仿真模拟,并完成功能测试。然后依次进行各个模块的仿真模拟与测试。

用Multisim软件,根据图3.1对模式控制电路进行仿真模拟,如图4.1所示。

图4.1模式控制电路的仿真图

按图4.2分别对模式控制电路模拟汽车运行的正常行驶、左转弯、右转弯和刹车四个状态进行测试,如图4.3、图4.4、图4.5和图4.6所示,测试结果说明模式控制仿真电路能实现四个状态的不同功能。

图4.3(a模式控制电路正常行驶状态测试图

图4.3(b模式控制电路正常行驶状态测试波形图

图4.4(a模式控制电路左转弯状态测试图

图4.4(b模式控制电路左转弯状态测试波形图

图4.5(a模式控制电路右转弯状态测试图

图4.5(a模式控制电路右转弯状态测试图

图4.6(a模式控制电路刹车状态测试图

图4.6(b模式控制电路刹车状态测试波形图 4.2三进制计数器的仿真与测试

用Multisim软件,根据图3.2对三进制计数器电路进行仿真模拟,如图4.7所示。

图4.7三进制计数器的仿真电路图

按图4.7对三进制计数器仿真电路进行测试,如图4.8所示。

图4.8三进制计数器的仿真电路测试图

4.3译码及尾灯状态限制、驱动电路的仿真与测试

用Multisim软件,根据图3.3对译码及尾灯状态显示、驱动电路进行仿真模拟,如图4.9所示。

a b 图4.9译码及尾灯状态显示、驱动电路仿真图

上图中包括两个部分:a译码及驱动电路、b尾灯状体显示电路。对译码及尾灯状态显示、驱动仿真电路的测试结果见4.4。4.4完整仿真电路的测试

在完成各个局部电路设计、仿真、测试后,可得到汽车尾灯控制器的完整逻辑电路,如图4.10所示。

图4.10汽车尾灯控制器的完整逻辑电路

对汽车尾灯控制器的完整逻辑电路的测试前先进行译码与驱动仿真电路的测试,根据图4.11的测试结果如图4.12所示。

图4.11完整逻辑电路测试图

a左侧b右侧图4.12正常行驶时译码与驱动电路测试波形

a左侧b右侧图4.13刹车时译码与驱动电路测试波形

a左转b右转图4.13转弯时译码与驱动电路测试波形

接下来进行对汽车尾灯控制器的完整逻辑电路的测试。需要模拟出汽车运行的四个状态,并逐一进行测试。

根据设计目的,正常行驶时汽车尾灯全部是熄灭状态,如图4.14所示。

图4.14正常行驶时尾灯显示状态 刹车时汽车尾灯全部闪烁,如图4.15

图4.15刹车时时尾灯显示状态

左转时汽车左侧尾灯组个闪烁,如图4.16所示。

图4.16左转时尾灯显示状态

右转时汽车右侧尾灯组个闪烁,如图4.17所示。

图4.17右转时尾灯显示状态 结论

经过课题选择、任务分析及方案设计、仿真模拟和功能测试等努力,最终用Multisim软件仿真模拟出汽车尾灯控制电路,并能实现汽车正常运行下所必须的基本功能。即正常行驶时,汽车尾灯全部处于熄灭状态;左转弯时,汽车左侧尾灯逐个闪烁;右转弯时,汽车右侧尾灯逐个闪烁;刹车时;汽车尾灯全部闪烁。

任何事情都很难一帆风顺,当然,本次课程设计也不可避免的遇到了很多难题。比如,设计三进制计数器时就遇到了两大难题,如何实现输出循环信号和J-K触发器在Multisim软件中的选择;还有,在实现转向时转逐个闪烁,刹车时共同闪烁功能是也遇到了难题。但通过查阅资料、同学讨论、请教老师等方法,及时解决了问题。在此过程中,学到的不仅是专业知识,更是理论知识的实践运用。最重要的是,培养、提升了主动发现问题,解决问题的能力。

但同时,本次课题研究仍然存在不足之处以及尚未解决的问题。首先,课题研究之初不应该只把他当做一次学习生涯中的任务,任何研究都不应该脱离实践,所有科研的最大意义都是在实践、在生活中体现的。本次课题研究应当本着原件集成化、通用化、成品化的原则设计方案,以满足大规模生产的要求,以便在日后产品的更新维护能够更好的方便的进行。同时也要尽量减少设计过程中竞争冒险现象出先的概率。使产品在使用过程中能够稳定的运行,达到良好的无故障率。另外,本次课题研究的成果并不是最好的。由于行车时都是开关控制,所以每一个开关都应该有一个消除机械振动的装置,可以用基本SR触发器来实现所以在时间允许的情况下,可以对这一不足进行改良从而使整个系统更加可靠。

谢辞

首先,感谢学校院系给我们学生提供这么一个实践理论知识的机会。本次课程设计只凭我一己之力并非不能完成,但必然困难。这里,对给予我指导的指导老师秦玉洁、任波,和给予我帮助的刘*同学、王*同学,尤其是在遇到设计、仿真以及测试方面的困难时帮助我的朋友表示由衷感谢!洛阳理工学院毕业设计论文 参考文献 [1]欧阳兴星明,于俊青.数字逻辑(第四版).武汉:华中科技大学 出版社,2009. [2]欧阳星明.数字逻辑学习与解题指南.武汉:华中科技大学出版社,2009. [3]赵明 富,李立军等 . EDA 技术基础 [M].北京:北京大学出版 社,2007. [4]阎石. [M]. 北京: 2006. 电子技术基础(第五版)清华大学出版社,[5]康华光.电子技术基础(第五版).北京:高等教育出版社,2006. [6]潘松,黄继业.EDA 技术与 VHDL.北京.清华大学出版社,2006. 22 洛阳理工学院毕业设计论文 附 录 附录一 仿真元器件清单 译码器 74LS138N 电阻(200Ω)×8 与非门 74ALS37AM 与非门 74AS10M 异或门 74ALS86N 非门 NOT ×7 ×2 ×6 ×1 ×1 ×1 J-K 触发器 74F112N 开关 LED 灯 ×2 ×6(红、绿、黄各两个)×2(测试用)示波器 XSC 23

洛阳理工学院毕业设计论文 附录二 汽车尾灯控制电路仿真图(终极版)CP 24 洛阳理工学院毕业设计论文 ****学院 计算机与信息工程系二班 陈强军(B11050226)2013 年 01 月 06 日 25

第二篇:汽车尾灯控制电路的设计

武汉理工大学《专业课程设计

(一)》课程设计说明书

汽车尾灯控制电路的设计 技术指标

用六个发光二极管模拟车尾部左右两侧的三个尾灯,用开关K1,K0模拟转向信号、运行信号和刹车信号。对尾灯的控制要求是: 开关控制 汽车运行状态 右转尾灯 左转尾灯 K1 K0 D1D2D3 D4D5D6 0 0 正常运行 灯灭 灯灭

0 1 右转弯 灯灭 按D1D2D3顺序循环点亮 1 0 左转弯 灯灭 按D6D5D4顺序循环点亮 1 1 临时刹车 所有的尾灯随时钟CP同时闪烁 设计方案及比较

2.1 方案一

2.1.1 秒脉冲电路的设计

由555定时器构成的多谐振荡器。由于555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。所以由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰,555定时器引脚图如图1所示。

图1 555定时器引脚图 武汉理工大学《专业课程设计

(一)》课程设计说明书

2.1.2 开关控制电路的设计

设译码器与显示驱动电路的使能控制信号为G和F,G与译码器74LS138的使能输入端G1相连接,F与显示驱动电路中与非门的一个输入端相连接,有总体逻辑功能可知,G和F 与开关控制变量,K1、K0以及时间脉冲CP之间的关系如下:

(1)0 0 汽车正常行驶(此时译码器不工作,译码器输出全部为高,显示驱动电路中的与非门输出均为低,反相器输出均为高,尾灯全部熄灭)

(2)0 1 汽车右转弯行驶(此时译码器在计数器控制下工作,显示驱动电路中的与非门输出取决于译码器输出,右侧尾灯D1、D2、D3在译码器输出作用下顺序循环点亮)(3)1 0 汽车左转弯行驶(此时译码器在计数器控制下工作,显示驱动电路中的与非门输出取决于译码器输出,左侧尾灯D4、D5、D6在译码器输出作用下顺序循环点亮)(4)1 1汽车临时刹车(此时译码器不工作,译码器输出全部为高,时钟脉冲CP通过显示驱动电路中的与非门作用到反相器的输出端,使左右两侧的指示灯在时钟脉冲CP的作用下同时闪烁)

2.1.3 三进制计数计数器电路的设计

由J-K触发器构成的三进制计数器;

由于电路只需采用一片双J-K触发器74LS76芯片即可,因此电路结构简单,成本低,74LS76芯片引脚图如2图所示。

图2 74LS76引脚图

武汉理工大学《专业课程设计

(一)》课程设计说明书

2.1.4 译码与显示驱动电路的设计

译码与显示驱动电路的功能是:在开关控制电路输出和三进制计数器状态的作用下,提供6个尾灯控制信号,当译码驱动电路输出的控制信号为低电平时,相应指示灯点亮。因此,译码与显示驱动电路可用74LS138(其功能表如表3.3所示)、6个与非门和6个反相器构成。图中,译码器74LS138的输入端C、B、A分别接K1、Q1、Q0。当图中G=F=

1、K1=0时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D1、D2、D3对应的反相器输出依次为低电平,从而使指示灯D1、D2、D3依次顺序点亮,示意汽车右转弯;当图中G=F=

1、K1=1时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D4、D5、D6对应的反相器输出依次为低电平,从而使指示灯D4、D5、D6依次顺序点亮,示意汽车左转弯;当图中G=0,F=1时,译码器输出为全1,使所有指示灯对应的反相器输出全部为高电平,指示灯全部熄灭;当图中G=0,F=cp时,所有指示灯随cp的频率闪烁。实现了4种不同模式下的尾灯状态显示,74LS138译码器引脚图如图3所示。

图3 74LS138译码器引脚图

2.1.5 尾灯状态显示电路的设计

尾灯状态显示电路可由6个发光二极管和6个电阻组成,图中,当6个反相器的输出为低电平时,相应的发光二级光管被点亮。

武汉理工大学《专业课程设计

(一)》课程设计说明书

2.2 方案二

2.2.1 秒脉冲电路的设计

由555定时器构成的多谐振荡器。由于555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。所以由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。

2.2.2 开关控制电路的设计

设译码器与显示驱动电路的使能控制信号为G和F,G与译码器74LS138的使能输入端G1相连接,F与显示驱动电路中与非门的一个输入端相连接。

2.2.3 三进制计数计数器电路的设计

由D触发器构成的三进制计数器;

两个D触发器可由一片双D触发器74LS74芯片实现,以及74LS00与非门和74LS04非门来实现此电路。此电路结构上有点复杂,而且需要三个芯片(至少两个),成本较高,74LS74芯片引脚图如图4所示。

图4 74LS74芯片引脚图

2.2.4 译码与显示驱动电路的设计

译码与显示驱动电路的功能是:在开关控制电路输出和三进制计数器状态的作用下,提供6个尾灯控制信号,当译码驱动电路输出的控制信号为低电平时,相应指示灯点亮。武汉理工大学《专业课程设计

(一)》课程设计说明书

因此,译码与显示驱动电路可用74LS138(其功能表如表3.3所示)、6个与非门和6个反相器构成。图中,译码器74LS138的输入端C、B、A分别接K1、Q1、Q0。当图中G=F=

1、K1=0时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D1、D2、D3对应的反相器输出依次为低电平,从而使指示灯D1、D2、D3依次顺序点亮,示意汽车右转弯;当图中G=F=

1、K1=1时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D4、D5、D6对应的反相器输出依次为低电平,从而使指示灯D4、D5、D6依次顺序点亮,示意汽车左转弯;当图中G=0,F=1时,译码器输出为全1,使所有指示灯对应的反相器输出全部为高电平,指示灯全部熄灭;当图中G=0,F=cp时,所有指示灯随cp的频率闪烁。实现了4种不同模式下的尾灯状态显示。

2.2.5 尾灯状态显示电路的设计

尾灯状态显示电路可由6个发光二极管和6个电阻组成,图中,当6个反相器的输出为低电平时,相应的发光二级光管被点亮。

2.3 方案三

2.3.1 秒脉冲电路的设计

石英晶体振荡器;

此电路的振荡频率仅取决于石英晶体的串联谐振频率fs,而与电路中的R,C的值无关。所以此电路能得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带宽,不能用于宽带滤波。

2.3.2 开关控制电路的设计

设译码器与显示驱动电路的使能控制信号为G和F,G与译码器74LS138的使能输入端G1相连接,F与显示驱动电路中与非门的一个输入端相连接。

2.3.3 三进制计数计数器电路的设计

由D触发器构成的三进制计数器; 武汉理工大学《专业课程设计

(一)》课程设计说明书

两个D触发器可由一片双D触发器74LS74芯片实现,以及74LS00与非门和74LS04非门来实现此电路。此电路结构上有点复杂,而且需要三个芯片(至少两个),成本较高。

2.3.4 译码与显示驱动电路的设计

译码与显示驱动电路的功能是:在开关控制电路输出和三进制计数器状态的作用下,提供6个尾灯控制信号,当译码驱动电路输出的控制信号为低电平时,相应指示灯点亮。因此,译码与显示驱动电路可用74LS138(其功能表如表3.3所示)、6个与非门和6个反相器构成。图中,译码器74LS138的输入端C、B、A分别接K1、Q1、Q0。当图中G=F=

1、K1=0时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D1、D2、D3对应的反相器输出依次为低电平,从而使指示灯D1、D2、D3依次顺序点亮,示意汽车右转弯;当图中G=F=

1、K1=1时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D4、D5、D6对应的反相器输出依次为低电平,从而使指示灯D4、D5、D6依次顺序点亮,示意汽车左转弯;当图中G=0,F=1时,译码器输出为全1,使所有指示灯对应的反相器输出全部为高电平,指示灯全部熄灭;当图中G=0,F=cp时,所有指示灯随cp的频率闪烁。实现了4种不同模式下的尾灯状态显示。

2.3.5 尾灯状态显示电路的设计

尾灯状态显示电路可由6个发光二极管和6个电阻组成,图中,当6个反相器的输出为低电平时,相应的发光二级光管被点亮。

2.4 方案比较

以上三种方案基本上大同小异,只是在秒脉冲电路的设计和三进制计数电路的设计两个环节不同:

1、方案一和方案二利用555定时器构成的多谐振荡器来实现秒脉冲,555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。所以由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰;而方案三用的是石英晶体振荡器,它的振荡频率仅取决于石英晶体的串联谐振频率fs,而与电路中的R,C的值无关。虽然此电路能得到频率稳定性极高的 脉冲波形,但是频率不能调节,而且频带宽,不能用于宽带滤波。

2、方案一利用双J-K触 武汉理工大学《专业课程设计

(一)》课程设计说明书

发器74LS76芯片构成三进制计数器,此电路结构简单,成本低;而方案二和方案三用D触发器构成三进制计数器,两个D触发器可由一片双D触发器74LS74芯片实现,以及74LS00与非门和74LS04非门来实现此电路,此电路结构上有点复杂,而且需要三个芯片(至少两个),成本较高。综合考虑上述三种方案,无论是从成本还是可行性,方案以最优,方案而次之,方案三最差。实现方案

首先,通过555定时器构成的多谐振荡器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给双J-K触发器构成的三进制计数器和开关控制电路中的三输入与非门的输入信号。

其次,双J-K触发器构成的三进制计数器用于产生00、01、10的循环信号,此信号提供左转、右转的原始信号。

最后,左转、右转的原始信号通过6个与非门,6个非门以及7410提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。得到的信号即可输出到发光二极管上,实现所需功能。总电路图如图5所示:

VCC5V12VVs128.86k¦¸R147810nFCf0VCC5VR3VCC555_VIRTUALTimerVCCRSTDISTHRTRICONGNDOUTVCC5VU1AU31Q1574LS76N41161J1CLK1K2U7A14Y0Y1Y2Y3Y4Y5Y6Y7***097U13ALED1R4100¦¸R5~1PR614123~1Q~1CLRABCG1~G2A~G2B1574LS00D74LS04DU8AU14ALED250645VCC974LS76N411J1CLK1K33257.72k¦¸R21074LS138DU2A1Q15100¦¸1674LS00D74LS04DU9AU15ALED3R617100¦¸74LS00D74LS04D18U10AU16ALED4R71974LS00D74LS04DU11AU17ALED574LS00D74LS04DU12AU18ALED61374LS00D74LS04D100¦¸R8100¦¸R9100¦¸VCC~1PRU4A74LS86D1110nFC16~1Q~1CLR31412J10U5A300¦¸Key = A R10J27k¦¸Key = A U6A74LS04D74LS10D2

图5 工作原理图 武汉理工大学《专业课程设计

(一)》课程设计说明书

3.1 参数计算与器件选择

(1)电阻:由于f=1.43/(R1+2R2)C=1Hz,所以选取R1=28.86KΩ,R2=57.72KΩ,R3=300Ω,R4= 7KΩ,C1=C2=100μF,其他电阻可选为100Ω即可。

(2)电容:如上所述,电容均选100μF/25V。

3.2元器件器脚图

其它用到得个元器件引脚图如图4所示:

图6 元器件引脚图 调试过程及结论

将设计好的控制电路分别接好电源和地线,然后接上函数发生器的脉冲信号,先将开关K1、K0分别接低电平0、0,发现显示灯全灭,表示汽车正常行驶;再将开关K1、K0分别接低电平0和高电平1,发现右侧尾灯D1、D2、D3在译码器输出作用下顺序循环点亮,表示汽车右转弯行驶;然后将开关K1、K0分别接高电平1和低电平0,发现左侧尾 武汉理工大学《专业课程设计

(一)》课程设计说明书

灯D4、D5、D6在译码器输出作用下顺序循环点亮,表示汽车左转弯行驶;左后将开关K1、K0分别接高电平1、1,发现左右两侧的指示灯在时钟脉冲CP的作用下同时闪烁,表示汽车临时刹车。在调试的过程中前面三种情况相当顺利,很快就完成了,最后模拟临时刹车的时候,开始发现6个指示灯全亮,并没有出现闪烁的现象,经检查才知是函数发生器脉冲信号的频率太高,将其调节到合适的频率后,终于出现了期待已久的左右两侧的指示灯同时闪烁。心得体会

这次课程设计可以说是相当成功的,虽然是第一次接触这个东西,但是基于以前做过数电实验,课程设计也就变得不是那么的困难了。但是,它又不是单纯的和想数电实验一样,认真听完老师所讲的内容,拿着电路图接好实验电路,完成电路各个部分的逻辑功能就可以了,它对我们的要求远远高于数电实验所能达到的高度。我们不仅要全面了解所选的设计题目,还要在网上充分查阅资料,再结合我们以前所学过的数电知识,自己拟定实验方案和设计实验电路。连接电路我是和同学一起做的,虽然我们这个电路的连接比较困难,但是经过一上午的辛苦奋斗,终于光荣的完成了实验所需要的实现电路,在宿舍调式电路也比较顺利,很快就完成了,出现了期待中的实验现象,但是去老师那里调试的时候,由于脉冲信号的频率没有控制好,刹车的时候没有出现闪烁,而是一直灯亮,调整频率后就很快更正过来了,整个过程还是非常顺利比较成功的。经过这次的课程设计,我更加体会到了数电在日常生活中的广泛应用,正是由于它的逻辑简单而被广泛应用于生活的许多方面,为我们的生活提供了很多便利。同时,也意识到了同学之间的互助是多么的重要,由于没有很认真地去阅读课程设计说明书撰写规范和有些作图软件不会使用,导致在写课程设计说明书的时候,遇到了不少的麻烦,多亏了同学们的热心帮助,才使我能够比较顺利地完成这项工作。最后,认真谨慎精益求精的态度对于一个实验者来说也是必备的优秀品质,只有这样才会做出令人满意的成绩来。经过这次课程设计,我真的学到了很多很多的东西。这次课程设计的成功得益于自己的耐心和决心,也离不开老师和周围同学的帮忙。特别感谢指导老师的悉心指点,感谢在我设计和制作过程给予我很多帮助的给位同学。

武汉理工大学《专业课程设计

(一)》课程设计说明书 参考文献

[1].屠其非.LED用于汽车尾灯的展望.光源与照明,2001(01)

[2].梁恩主 著.Protel 99SE电路设计与仿真应用.北京:清华大学出版社,2000 [3].姚福安 著.电子电路设计与实践.山东: 山东科学技术出版社,2002 [4].康华光主编.数字电子基础.北京:高等教育出版社,1999 [5].杨志亮 著.Protel DXP电路原理图设计技术.山西:西北工业大学出版社[6].路勇主编.电子电路实验及仿真.北京:清华大学出版社,2004 [7].唐程山主编.电子技术基础.北京:高等教育出版社,2005 [8]韩克 柳秀山主编。电子技能与EDA技术。暨南大学出版社,2005 10

2002

第三篇:路灯控制器设计报告

路灯控制器的设计

一.设计任务和要求

设计要求:

1、自制电路供电的稳压电源;

2、LED采用恒流供电。

3、该控制器具有环境亮度检测和控制功能,当处于暗(亮)环境下能够自动开(关)灯,为了演示方便,在现场演示时,当调光台灯(模拟自然光)较暗(较亮)时相当于暗环境(亮环境),此时另一个白光LED(模拟路灯)将被点亮(熄灭),以此实现光控功能。

二.方案说明

安装在公共场所或道路两旁的路灯,通常是随环境的亮和暗而自动的关断和开启或者自身亮度,同时可以对消耗的电功率进行测量。实验时用1W白光LED(3.3V@300mA)代替路灯,用调光台灯替代环境光线变化。

三.原理电路设计

1.单元电路设计.本光控路灯包括

(1)光敏采样部分,当光敏三极管处于不同光照强度下,它的阻值变化很大.将光敏三极管串联一个适当的电阻,接入电路中,输出量作为开关值.无光照强度或光照强度很小时,采样值接近VCC.当光照强度增加到一定程度时,采样值为一个较小值,并且随着光照继续增强,采样值也随着减小.(2)电位器调节电压部分.当光照达到一定强度时,通过调节电位器改变它的电压,使之与光敏采样部分的采样值相等即可.(3)集成运放器部分.需要用到集成运放器的开环性能和闭环性能.当集成运放处于开环状态时.它是一个电压比较器,对同相输入端和反相输入端的电压进行比较.若同相输入端的电压高于反相输入端的电压,则输出高电平;若同相输入端的电压低于反相输入端的电压,则输出零(单电源)或低电平(双电源).(4)三极管放大部分.使用三极管对集成运放器的微弱输出电流进行放大,从而使led灯能正常发光.2.元件选择

(1).光敏器件选择

光敏三极管和普通三极管相似,也有电流放大作用,只是它的集电极电流不只是受基极电路和电流控制,同时也受光辐射的控制。通常基极不引出,但一些光敏三极管的基极有引出,用于温度补偿和附加控制等作用。光敏三极管又称光电三极管,它是一种光电转换器件,其基本原理是光照到P-N结上时,吸收光能并转变为电能。当光敏三极管加上反向电压时,管子中的反向电流随着光照强度的改变而改变,光照强度越大,反向电流越大,大多数都工作在这种状态。当具有光敏特性的PN 结受到光辐射时,形成光电流,由此产生的光生电流由基极进入发射极,从而在集电极回路中得到一个放大了相当于β倍的信号电流。不同材料制成的光敏三极管具有不同的光谱特性,与光敏二极管相比,具有很大的光电流放大作用,即很高的灵敏度。

本次设计选择的是3DU33型号光敏三极管.在1000lx,V=10v条件下,电流典型值为10 mA.故可推测在1000lx,V=5A条件下,电路大约为5mA。且在有光条件下,电流最小值为2 mA.电路图如下

(2)电位器选择

本次设计电位器选择通用型3296系列103A电位器,阻值为10k.图如下

(3)集成运算放大器选择。本次选择LM358运算放大器。

LM358里面包括有两个高增益、独立的、内部频率补偿的双运放,适用于电压范围很宽的单电源,而且也适用于双电源工作方式,它的应用范围包括传感放大器、直流增益模块和其他所有可用单电源供电的使用运放的地方使用 电路如下

(4)三极管选择。

本次选用S8050 NPN型三极管。三极管8050是非常常见的NPN型晶体三极管,在各种放大电路中经常看到它,应用范围很广,主要用于功率放大、开关。参数: 耗散功率0.625W(贴片:0.3W)

集电极电流0.5A 集电极--基极电压40V 集电极--发射极击穿电压25V 集电极-发射极饱和电压 0.6V 特征频率fT 最小150MHZ 典型值产家的目录没给出 引脚排列为EBC或ECB 838电子

按三极管后缀号分为 B C D档 贴片为 L H档

放大倍数B85-160 C120-200 D160-300 L100-200 H200-350

3.整体电路

实验原理

光敏采样值输出到前1/2 LM358同相输入端,电位器调节部分电压输出到前1/2 LM358反相输入端。当同相输入端电压值高于反相输入端电压值时,U1A输出高电平,反之输出零。U1B是引入负反馈闭环的运算放大器,可以由理想集成运算放大器虚短,虚端方法来分析电路。当U1A输出为零时,反相输入端电平也为零,U1B输出为0,led灯灭。当U1A输出高电平时,由虚短可判断反相输入端电压也为等值高电平。三极管工作在放大区,放大电流,led灯亮。

四.性能测试与分析

理论数据分析:在有一定光照条件下,光敏三极管的电流为2-5 mA.经计算考量,选取与光敏三极管串联的电阻为800欧。为使调节范围足够大,满足设计要求,选取R3=R6=1k,电位器R4=10k.在同相输入端大于反相输入端的电压值时,集成运算放大器最大输出几mA的电流,理论流过led灯最大电流为300mA。在光照足够强时,同相输入端电压值小于反相输入端,电压比较器输出零,此时三极管be间电压小于开启电压,三极管处于截止状态,流过led灯的电流为零。

仿真数据:无光照条件下,U1A同相输入端输入值即光敏部分采样值为4.993V,反相输入端电压值为2.363V,U1A输出4.023V,输出电流几乎为零。三极管基极电流为0.036A,流过led灯的电流值为0.400A(protues仿真没有S8050三极管和光敏三极管,故分别用TIP41和光敏电阻代替,与理论数据分析有差距)实测数据: 无光时,U1A同相输入端电压为4.91V,反相输入端电压为3.74V,U1A输出端电压为4.01V,电流几乎为零.此时测得led灯两端电压约为3.20V.逐渐增大光照强度,发现某一时刻led灯开始明显变暗,并且随着光强缓慢增加,led灯继续变暗,直至只有微弱灯光.此时测得led灯两端电压为2.43V.整个过程中,U1A同相输入端电压始终小于反相输入端电压值,U1A输出电压为零.U2A同相及反相输入端电压都为零,输出端有0.64V电压.误差分析: 处于临界光照时,运算放大器同相及反相输入端电压差值很小,容易波动.运算放大器均是采用直接耦合的方式,直接耦合式放大电路的各级的Q点是相互影响的,由于各级的放大作用,第一级的微弱变化,会使输出级产生很大的变化。当输入短路时(由于一些原因使输入级的Q点发生微弱变化 象:温度),输出将随时间缓慢变化,这样就形成了零点漂移。产生零漂的原因是:晶体三极管的参数受温度的影响。解决零漂最有效的措施是:采用差动电路

六.实验心得

本次课程实验设计是我们三人组齐心协力,默契的团队配合.从初期方案的确定,到实验室共同焊板子,还有后来共同解决遇到的电路问题,每个人都很积极地去解决困难.通过此次设计,能够一步了解了光敏三极管的原理和特性,把我们所学到的知识应用到了实践,结合模拟电路和数字电路知识,经一步巩固和掌握前面所学的知识,收获很大。

七.参考文献

[1] 华成英,童诗白.模拟电子技术基础[M].北京:高等教育出版社,2006:74-116.[2] 谢自美 电子线路设计[M];华中科技大学出版社;[3] 百度文库,道客巴巴资料以及电子爱好者论坛等

第四篇:汽车尾灯控制电路 verilog课设

可编程课程设计 实验报告

一、设计题目

汽车尾灯控制电路

二、设计要求

用6个发光管模拟6个汽车尾灯(左右各3个),用4个开关作为汽车控制信号,分别为:左拐、右拐、故障和刹车。

车匀速行驶时,6个汽车尾灯全灭;右拐时,车右边3个尾灯从左至右顺序亮灭;左拐时,车左边3个尾灯从右至左顺序亮灭;

故障时车6个尾灯一起明灭闪烁;刹车时,6个尾灯全亮

三、设计语言简介

VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

VHDL设计的主要特点:

1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。

2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。

3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。4.可以进行从系统级到逻辑级的描述,即混合描述。

5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。

四、程序代码

module weideng(nrst,haz,left,right,brake,lc,lb,la,ra,rb,rc,/*CLOCK_50*/clk,vga);input haz,left,right,brake;//warning,turn left,turn right,braking input nrst,/*CLOCK_50*/clk;output lc,lb,la,ra,rb,rc;//6 light output vga;reg [3:0]vga;

wire lc,lb,la,ra,rb,rc;

//wire cp;//2 HZ reg [19:0]state,next_state;

//14 states

parameter [19:0]idle=20'b00_0000_0000_0001_000_000,l1=20'b00_0000_0000_0010_001_000,l2=20'b00_0000_0000_0100_010_000,l3=20'b00_0000_0000_1000_100_000,r1=20'b00_0000_0001_0000_000_100,r2=20'b00_0000_0010_0000_000_010,r3=20'b00_0000_0100_0000_000_001,full=20'b00_0000_1000_0000_111_111,bl1=20'b00_0001_0000_0000_001_111,bl2=20'b00_0010_0000_0000_010_111,bl3=20'b00_0100_0000_0000_100_111,br1=20'b00_1000_0000_0000_111_100,br2=20'b01_0000_0000_0000_111_010,br3=20'b10_0000_0000_0000_111_001;

//position of each state

parameter [4:0] idle_pos=5'd6,l1_pos=5'd7,l2_pos=5'd8,l3_pos=5'd9,r1_pos=5'd10,r2_pos=5'd11,r3_pos=5'd12,full_pos=5'd13,bl1_pos=5'd14,bl2_pos=5'd15,bl3_pos=5'd16,br1_pos=5'd17,br2_pos=5'd18,br3_pos=5'd19;

//store status

always @(posedge clk ,negedge nrst)

begin vga=4'b0001;

if(!nrst)

state<=idle;

else

state<=next_state;end

//state transition ***

always @(haz,left,right,brake)

begin

next_state=idle;

case(1'b1)

state[idle_pos]:if(left&~haz&~right&~brake)

next_state=l1;

else if(right&~haz&~left&~brake)

next_state=r1;

else if(brake|haz|left&right)

next_state=full;

else

next_state=idle;

state[l1_pos]:if(brake)

next_state=bl1;

else if(haz&~brake)

next_state=full;

else

next_state=l2;

state[l2_pos]:if(brake)

next_state=bl1;

else if(haz&~brake)

next_state=full;

else

next_state=l3;

state[l3_pos]:next_state=idle;

state[full_pos]:if(~brake)

next_state=idle;

else

next_state=full;

state[r1_pos]:if(brake)

next_state=br1;

else if(haz&~brake)

next_state=full;

else

next_state=r2;

state[r2_pos]:if(brake)

next_state=br1;

else if(haz&~brake)

next_state=full;

else

next_state=r3;

state[r3_pos]:next_state=idle;

state[br1_pos]:if(~brake)

next_state=r1;

//else if(~brake&haz)

//next_state=full;

else

next_state=br2;

state[br2_pos]:if(~brake)

next_state=r1;

//else if(~brake&haz)

//next_state=full;

else

next_state=br3;

state[br3_pos]:if(~brake)

next_state=r1;

else

next_state=br1;

state[bl1_pos]:if(~brake)

next_state=l1;

//else if(~brake&haz)

//next_state=full;

else

next_state=bl2;

state[bl2_pos]:if(~brake)

next_state=l1;

//else if(~brake&haz)

//next_state=full;

else

next_state=bl3;

state[bl3_pos]:if(~brake)

next_state=l1;

else

next_state=bl1;

default:next_state=idle;

endcase

end

//output logic

assign la=state[3],lb=state[4],lc=state[5],ra=state[2],rb=state[1],rc=state[0];//2hz clock

/*

divn #(.WIDTH(25),.N(25000000))

CLOCK_50 u0(.clk(CLOCK_50),.rst_n(nrst),.o_clk(cp));

*/ endmodule

五、心得体会

Verilog语言是目前电路设计中不可缺少的语言之一,其在电子信息、通信、自动控制及计算机领域中的重要性日益突出。通过本次课程设计,使我对Verilog语言有了更深一步的了解,也对Quartus II软件的使用方法和设计流程也有了更进一步的认识。在课程设计过程中,我和同组同学共同讨论,期间遇到不少问题。但每一个问题我们都会认真修改、调试,并积极向老师和同学寻求帮助,直到没有错误为止。这也提高了我们独立思考与团队合作的能力。总之,此次课程设计不仅对之前可编程设计的理论学习进行了实践,更为我今后的专业学习打下了良好的基础。

第五篇:数字电子课设报告汽车尾灯控制电路设计

本文由无限求书贡献

doc文档可能在WAP端浏览体验不佳。建议您优先选择TXT,或下载源文件到本机查看。

一.概述

汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用.汽 车行驶时,会出现正常行驶,左转弯,右转弯,刹车四种情况,针对这四种情况可以 设计出汽车尾灯的控制电路来表示这四种状态.设计一个汽车尾灯控制电路,技术指标如下: 假设汽车尾部左右两侧各有 3 个指示灯(用发光二极管模拟);汽车正常运行时指示灯全灭;汽车左转弯时,左侧 3 个指示灯按左循环顺序点亮;汽车右转弯时,右侧 3 个指示灯按右循环顺序点亮;临时刹车时所有指示灯同时闪烁.二.方案论证

方案一: 汽车尾灯控制电路主要由 D 触发器逻辑电路,左转,右转控制电路,刹车控制电 路构成.首先将脉冲信号 CLK 提供给 D 触发器逻辑电路.用三片 D 触发器设计一个逻辑电路可以产生 001,010,100 的循环信号.将此信号作为左转,右转的原始信号.设置左转控制开关和右转控制开关.通过开关的控制将左转,右转的原始信号通过逻辑电路分别输出到左,右的 3 个 汽车尾灯上.这部分电路起到信号分拣的作用.设置刹车控制开关,将脉冲信号 CLK 提供给刹车控制电路.当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随 着时钟信号 CLK 全部闪烁的功能.最终得到的信号即可输出到发光二极管上,实现所需功能.方案一原理框图如图 1 所示.1 CLK D 图 1 方案一原理框图

方案二: 汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码电路,显示,驱动 电路构成.由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器 电路顺序输出低电平,从而控制尾灯按要求点亮.首先,设置两个可控制的开关,可产生 0 0,0 1,1 0,1 1 四种状态.开关置为 0 0 状态时,表示汽车处于正常运行状态.开关置为 0 1 状态时,表示汽车处于右转弯的状态.开关置为 1 0 状态时,表示汽车处于左转弯的状态.开关置为 1 1 状态时,表示汽车处于刹车的状态.其次,设计电路实现所需达到功能.三进制计数器可用两片 D 触发器构成.译码电路可用 3 线—8 线译码器 74LS138 和 6 个与非门构成.显示,驱动电路由 6 个发光二极管和 6 个反向器构成.2 方案二原理框图如图 2 所示.显示,驱动电路

开关控制电路

译码电路

三进制计数器

图 2 方案二的原理框图

最终方案为方案二.电路设计 三.电路设计

1.时钟脉冲电路 由 555 定时器构成的多谐振荡器电路如图 3 所示.12V Vs 1 28.86k R1 4 8 VCC RST DIS THR TRI CON GND 1 OUT 3 6 57.72k R2 7 8 CP 7 6 2 5 10nF C 10nF Cf 0 LM555CM Timer 图 3 由 555 构成的多谐振荡器

接通电源后,电容 C 被充电,Vc 上升,当 Vc 上升到 2/3Vcc 时,触发器被复位, 此时 Vo 为低电平,电容 C 通过 R2 和 T 放电,使 Vc 下降.当 Vc 下降到 1/3Vcc 时, 触发器又被复位,Vo 翻转为高电平.周期 T 为: T=(R1+2R2)Cln2≈0.7(R1+2R2)C 这样,通过控制电容充放电时间,使多谐振荡器产生时钟信号.2.开关控制电路 开关控制电路如图 4 所示.3 VCC 5V VCC J1 Key = A 10 R1 200? 0 U7B U15B 12 C G VCC 5V U3A VCC 74LS136D J2 Key = B 11 R2 200? 0 13 74LS00D 74LS04D U9B D U16A 14 74LS00D CP 74LS10D 图 4 开关控制电路

电路通过控制开关 A,B 的断开和闭合,实现正常行驶,左转弯,右转弯,刹车四 种状态.AB 置为 0 0 状态时,表示汽车处于正常运行状态.AB 置为 0 1 状态时,表示汽车处于右转弯的状态.AB 置为 1 0 状态时,表示汽车处于左转弯的状态.AB 置为 1 1 状态时,表示汽车处于刹车的状态.3.三进制计数器 原理图如图 5 所示.4 VCC 5V 2 ~1PR 4 1 1J 1CLK ~1Q ~1CLR 3 14 1Q 15 U2A A VCC 16 1K 74LS76D 1 3 2 2 U2B 1Q 15 ~1PR 4 1 1J 1CLK ~1Q ~1CLR 3 14 B 16 1K 74LS76D 图 5 三进制计数器原理框图

4.译码,显示驱动电路 译码,显示驱动电路如图 6 所示.VCC U4A 74LS00D U5A 9 U10A LED1 23 R3 17 200 5V A B1 2 C 3 6 G4 5 U1 A B C G1 ~G2A ~G2B Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 15 14 13 12 11 10 9 7 74LS04D U11A LED2 3 10 24 R4 18 16 200 74LS00D 74LS04D 4 U6A U12A 5 LED3 11 25 R5 19 6 7 8 200 74LS04D U13A LED4 R6 12 20 26 200 74LS00D 74LS04D U8A U14A LED5 R7 13 21 27 200 74LS00D 74LS04D U9A U15A LED6 R8 14 22 28 200 74LS00D 74LS04D 74LS00D U7A 0 74LS138D VCC 图6 译码,显示驱动电路 5 四,性能的测试

利用 Multisim10 进行测试和仿真.1.当汽车正常行驶时,AB 置为 0 0 状态,指示灯全灭.仿真结果如图 7 所示.图7 正常行驶仿真结果

2.当汽车左转弯时,AB 置为 1 0 状态,左侧 3 个指示灯按 LED1->LED2->LED3 顺 序循环点亮.仿真结果如图 8 所示.6 图8 左转弯仿真结果

3.当汽车右转弯时,开关置为 0 1 状态,右侧 3 个指示灯按 LED4->LED5->LED6 顺 序循环点亮.仿真结果如图 9 所示.7 图9 右转弯仿真结果

4.当汽车刹车时,AB 置为 1 1 状态,所有指示灯全部随着时钟信号闪烁.仿真结果如图 10 所示.8 图 10 刹车仿真结果

五.结论

电路的主要特点是选用简单常见的元器件,充分利用所学知识.通过仿真结果可以看出,符合任务书中所要求的性能指标,完成所需功能.六.性价比

本电路采用的都是简单且常见的元器件, 价格相对便宜, 性能基本符合技术要求.适用于对技术要求不是十分严格的电路.因此,本电路的性价比较高.七,课设体会及合理化建议 课设体会及合理化建议

这次总的说来收获很大,但在独立设计过程中着实也遇到了不少困难.比如开始 时不知用什么逻辑器件使输出为 001,010,100 的循环,以使指示灯按一定的顺序依 次点亮, 后经过与同学的讨论最终使问题得到了解决, 我想这也是最吸引我们的地方, 当真正投入时才发现乐在其中.一开始对软件不熟悉,刚进行上机设计时很不顺手,遇到不少麻烦,经过自己的 学习和老师的指导,才完成了电路的设计并成功进行了仿真.9 参考文献

[1] 刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005 年 [2] 朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004 年 [3] 路勇主编.电子电路实验及仿真.[M]北京:北京交通大学出版社,2004 年 [4] 阎石主编.数字电子技术.[M]北京:高等教育出版社,2006 年 [5] 谢自美主编.电子线路设计实验测试.[M]武汉:华中科技大学出版社,2006 年 [6] 华满清主编.电子技术实验与课程设计.[M]北京:机械工业出版社,2005 年

附录Ⅰ 附录Ⅰ 总电路图 11 附录Ⅱ 附录Ⅱ 元器件清单

序号 编号 名称 型号 数量

R1, R2, R3, R4, R5, R6, 电阻 R7,R8, R9 R10 LED1,LED2, LED3,LED4, LED5,LED6, U2A,U2B, U10A,U11A, U12A,U13A, U14A,U15A, U15B, 电阻 电阻 200 8 2 3 28.86k 57.72k 1 1 4 发光二极管 LED 6 5 JK 触发器 74LS76 2 6 非门 74LS04 7 7 U4A,U5A,U6A, U7A,U7B,U8A, 与非门 U9A,U9B 74LS00 8 9 10 11 12 U16A, 与非门 直流电源 直流电源 74LS10 5V 12V DIPSW1 1 4 1 2 J1,J2, 开关

U17, U1, U3A, 555 定时器 LM555CM 译码器 异或门 74LS138 74LS136 1 1 1 12 1

下载汽车尾灯控制器设计实习报告.word格式文档
下载汽车尾灯控制器设计实习报告..doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    汽车车身设计实习报告[范文]

    汽车车身设计 从汽车产业到汽车社会,汽车已成为一种现代生活方式的代表。特别是随着经济的发展,汽车越来越普及,人们需求也越来越多样化,从而刺激了汽车供应商开发功能更齐全、......

    项目任务5 汽车尾灯控制电路五篇

    项目任务5 汽车尾灯控制电路设计 一、 实验目的 学习汽车尾灯控制电路设计 二、 2.实验设备 PC机,Quartus II软件,FPGA实验板(套件), 三、 3.实验内容与说明 见书P73。 四、 实验总......

    KDS控制器报告

    申请报告 设备动力部: 本部一台全自动地面清洗机瑞捷X8型在正常清洗地面时,突然发生不能运行,经厂家专业维修人员检查后,确认为此机的控制器已坏。型号为KDS控制器,需请贵部帮助......

    汽车实习报告

    实习目的 1. 获得与专业有关知识技能,接受实习单位文化熏陶,了解公司管理模式,让自己理论知识更加扎实,专业技能 更加过硬,更加善于理论联系实际。并通过撰写实习报告,学会......

    汽车实习报告

    汽车实习报告1 进入公司从事导购工作已经有5年了, 5年的时间说长不长,说短不短,每天重复一样的工作,接待不一样的顾客。在这5年的时间里,经过公司的培养及自己的努力学习,我的导......

    汽车实习报告

    汽车实习报告 汽车实习报告1 随着我国经济的飞速发展和人民生活水平的不段提高,人们的消费观念和消费需求也在不断发生变化。汽车作为高档消费品越来越受到年轻一代和事业有......

    汽车实习报告

    2009年3月1日~2009年6月30日是我在难南宁中达丰田汽车销售服务有限公司进行毕业实习课程,汽车实习报告。在这实习时间里我对公司的整车销售、、零部件供应、售后服务、维修以......

    汽车实习报告

    天津科技大学本科生 毕业设计(论文)实习报告 学 院 机械工程学院 专 业 2012汽车服务工程 题 目 轻型商用客车制动系统设计与计算 姓 名 邢秩豪 指导教师(签名) 年月日 天津科......