第一篇:停车场模拟管理系统实验报告
一.问题描述
1.实验题目:
设停车场是一个可停放 n 辆汽车的狭长通道,且只有一个大门可供汽车进出。汽车在停车场内按车辆到达时间的先后顺序,依次由北向南排列(大门在最南端,最先到达的第一辆车停放在车场的最北端)。若停车场内已经停满 n辆车,那么后来的车只能在门外的便道上等候。一旦有车开走,则排在便道上的第一辆车即可开入。当停车场内某辆车要离开时,在它之后进入的车辆必须先退出车场为它让路,待该辆车开出大门外,其他车辆再按原次序进入车场。每辆停放在车场的车在它离开停车场时必须按它停留的时间长短缴纳费用。试为停车场编制按上述要求进行管理的模拟程序。
要求:根据各结点的信息,调用相应的函数或者语句,将结点入栈入队,出栈或者出队。
二.需求分析
1.程序所能达到的基本可能:
程序以栈模拟停车场,以队列模拟车场外的便道,按照从终端读入数据的序列进行模拟管理。栈以顺序结构实现,队列以链表结构实现。同时另设一个栈,临时停放为给要离去的汽车让路而从停车场退出来的汽车。输入数据按到达或离去的时刻有序。当输入数据包括数据项为汽车的“到达”(‘A’表示)信息,汽车标识(牌照号)以及到达时刻时,应输出汽车在停车场内或者便道上的停车位置;当输入数据包括数据项为汽车的“离去”(‘D’表示)信息,汽车标识(牌照号)以及离去时刻时,应输出汽车在停车场停留的时间和应缴纳的费用(便道上停留的时间不收费);当输入数据项为(‘P’,0,0)时,应输出停车场的车数;当输入数据项为(‘W’, 0, 0)时,应输出候车场车数;当输入数据项为(‘E’, 0, 0),退出程序;若输入数据项不是以上所述,就输出“ERROR!”。2.输入输出形式及输入值范围:
程序运行后进入循环,显示提示信息:“Please input the state,number and time of the car:”,提示用户输入车辆信息(“到达”或者“离开”,车牌编号,到达或者离开的时间)。若车辆信息为“到达”,车辆信息开始进栈(模拟停车场),当栈满,会显示栈满信息:“The parking place is full!”,同时车辆进队列(模拟停车
场旁便道),并显示该进入便道车辆的车牌编号,让用户知道该车的具体位置;若车辆信息为“离开”,会显示该车进入停车场的时间以及相应的停车费用,若该车较部分车早进停车场,这部分车需先退出停车场,暂时进入一个新栈为其让道,会显示进入新栈的车辆的车牌编号及其入停车场的时间,当待离开车离开停车场后,这部分车会重新进入停车场,同时便道上的第一辆车进入停车场;若输入(‘P’,0,0),会显示停车场的车数;若输入(‘W’,0,0),会显示便道上的车数;若输入(‘E’,0,0),程序会跳出循环,同时程序结束;若输入为其他字母,程序会显示“ERROR!”报错。若便道上没有车辆停靠,会显示便道为空的信息:用户每输入一组数据,程序就会根据相应输入给出输出。输入值第一个必须为字母,后两个为数字。
三.概要设计
为了实现上述功能,该程序以栈模拟停车场以及临时停放为给要离去的汽车让路而从停车场退出来的汽车的场地,以队列模拟车场外的便道,因此需要栈和队列这两个抽象数据类型。1.栈抽象数据类型定义: ADT SqStack{
数据对象:D={ai,bi,ci,di|aiint,biint,ciint,dichar,i=1,2,3....,n,n0}
数据关系:R={(ai,bi,di)|ai,bi,diD,ai,bi,distruct car};
基本操作:
Judge_Output(s,q,r);//根据r中车辆信息控制车辆是入栈s还是
入队q以及相关操作
A_cars(s,q, a);//将到达车辆a的信息入栈s或者入队q
D_cars(s,q, d);//将待离开车辆d出栈s,并将q中相应车辆
入栈并进行相关的操作 }ADT SqStack 2.队列抽象数据类型定义: ADT LinkQueue{ 2
数据对象:D={ai,bi,ci|aiQnode *,biQnode
*,ciint,i=1,2,3....,n,n0};
数据关系:R=;
基本操作:
Judge_Output(s,q,r);//根据r中车辆信息控制车辆是入栈s
还是入队q以及相关操作
A_cars(s,q, a);//将到达车辆a的信息入栈s或者入队q
D_cars(s,q, d);//将待离开车辆d出栈s,并将q中相应车
辆入栈并进行相关的操作 }ADT LinkQueue
3.主要算法流程图:
I.Judge_Output算法流程图:
开始F输入为E(e)TF输出输入为P(p)“STOP!”TF输入为W(w)输出停车场车辆的数目TF输入为A(a)输出便道上车辆数目T输入为D(d)调用A_cars函数调用D_cars函数结束II.A_cars算法流程图:
开始停车场未满TF车进停车场车进便道结束III.D_cars算法流程图:
开始该车是最后进T停车场的车F在该车后进的车退结账离开出停车场后让其结账离开便道上有车TF便道上第一辆车进停车场结束
4.本程序保护模块: 主函数模块
栈单元模块:实现栈的抽象数据类型 队列单元模块:实现队列的抽象数据类型 调用关系:
栈单元模块主函数模块队列单元模块四.详细设计
1.相关头文件库的调用说明: #include
#include
{ char bb;
int num;
int time;
};struct rangweicar {int num;int time;};typedef struct stackk {struct rangweicar H[MAXSIZE];int topp;
}SqStackk;#define QNODE struct Qnode QNODE { int data;
QNODE *next;
};3.栈类型和队列类型: typedef struct stack {struct car G[n];
int top;}SqStack;typedef struct linkqueue {QNODE *front,*rear;
int geshu;}LinkQueue;//部分基本操作的伪码实现
void Judge_Output(SqStack *s,LinkQueue *q,struct car *r){ if((*r).bb=='E'||(*r).bb=='e')printf(“STOP!n”);else if((*r).bb=='P'||(*r).bb=='p')printf(“The number of parking cars is %dn”,(s->top)+1);else if((*r).bb=='W'||(*r).bb=='w')printf(“The number of waiting cars is %dn”,q->geshu);else if((*r).bb=='A'||(*r).bb=='a')A_cars(s,q,*r);else if((*r).bb=='D'||(*r).bb=='d')D_cars(s,q,*r);else printf(“ERROR!n”);}
A_cars(SqStack *s,LinkQueue *q,struct car a){QNODE *t;if(s->top!=n-1){(s->top)++;(s->G[s->top]).bb=a.bb;(s->G[s->top]).num=a.num;(s->G[s->top]).time=a.time;} else {printf(“The parking place is full!n”);t=(QNODE *)malloc(sizeof(QNODE));t->data=a.num;t->next=NULL;q->rear->next=t;q->rear=t;printf(“the number of the car in the access road is:%dn”,q->rear->data);q->geshu++;} } int D_cars(SqStack *s,LinkQueue *q,struct car d){int i,j,l;float x,y;QNODE *p;SqStackk *k;if(d.num==(s->G[s->top]).num){x=d.time-(s->G[s->top]).time;y=fee*x;printf(“The time is %.2f hours,the fee is %.2f yuann”,x,y);if(q->geshu==0){printf(“The queue is empty!n”);
return 0;} else {p=q->front->next;
q->front->next=p->next;
(s->G[s->top]).num=p->data;
(s->G[s->top]).time=d.time;
free(p);
q->geshu--;
if(q->front->next==NULL)
q->rear=q->front;
return 1;
} } else {for(i=0;i<(s->top);i++)
{if((s->G[i]).num!=d.num)continue;else break;}
if(i>=(s->top))
{printf(“ERROR!n”);
return-1;
}
x=d.time-(s->G[i]).time;
y=fee*x;
printf(“The time is %.2f hours,the fee is %.2f yuann”,x,y);
k=(SqStackk *)malloc(sizeof(SqStackk));
k->topp=-1;
for(j=(s->top);j>i;j--)
{k->topp++;(k->H[k->topp]).num=(s->G[j]).num;
(k->H[k->topp]).time=(s->G[j]).time;
s->top--;
}
for(l=0;l<=(k->topp);l++){printf(“the information(number and time)in the new stack is:n”);
printf(“%d,%dn”,(k->H[l]).num,(k->H[l]).time);}
s->top--;
while(k->topp>=0)
{s->top++;
(s->G[s->top]).bb='A';
(s->G[s->top]).num=(k->H[k->topp]).num;
(s->G[s->top]).time=(k->H[k->topp]).time;
k->topp--;
}
if(q->geshu==0)
{printf(“The access road is empty!n”);
return 2;
}
else
{s->top++;
p=q->front->next;
q->front->next=p->next;
(s->G[s->top]).num=p->data;
(s->G[s->top]).time=d.time;
free(p);
q->geshu--;
if(q->front->next==NULL)
q->rear=q->front;
return 3;
}
}
}
4.主函数的伪码: main()
{SqStack *s;
LinkQueue *q;
QNODE *p;
struct car aa[MAXSIZE];
int i;
s=(SqStack *)malloc(sizeof(SqStack));
s->top=-1;
q=(LinkQueue *)malloc(sizeof(LinkQueue));
p=(QNODE *)malloc(sizeof(QNODE));
p->next=NULL;
q->front=q->rear=p;
q->geshu=0;printf(“******************************************************************************n”);
printf(“*************************
*************************n”);
printf(“*************************
停车场管理系统
*************************n”);
printf(“*************************
*************************n”);
printf(“******************************************************************************n”);
for(i=0;i {printf(“Please input the state,number and time of the car:n”); scanf(“%c,%d,%d”,&(aa[i].bb),&(aa[i].num),&(aa[i].time)); getchar();Judge_Output(s,q,&aa[i]); if(aa[i].bb=='E'||aa[i].bb=='e')break; } } 5.函数调用关系: 调用A_cars函数调用Judge_Output函数main函数调用D_cars函数 五.测试分析: 1.出现问题及解决办法: 该程序是四个程序调试中最顺利的一个,只在一个地方上出了问题,就是输入字符时由于回车键也是字符,回车键总会被读入,导致经常输出“ERROR!”。后来找到原因后在scanf函数后紧接着加了一个getchar();语句后就恢复了正常。 2.方法优缺点分析: 优点:用栈和队列来模拟停车场让整个问题显得简单,易于实现; 缺点:栈和队列这两个数学模型用在停车场管理上还是有失妥当的,现实中停车场出口入口不可能为同一处,不可能当一辆车要离开,在它后面进来的车必须为它让路,因此无法用栈的“后进先出”原则来模拟;而且没有考虑便道上的车在等待过程中可以中途开走等情况,而这些都无法用队列的“先进先出”原则来模拟。 3.主要算法的时间和空间复杂度分析: (1)由于算法Judge_Output函数根据判断条件,每次只选择一个程序段执行,所以其时间复杂度是O(1); (2)由于算法A_cars函数根据判断条件,将数据入栈或入队列,所以其时间复杂度也是O(1); (3)由于算法D_cars函数在出栈数据不在最顶端时需将n个数据先出该栈,再入新栈,再回旧栈的操作,故其时间复杂度是O(n);(4)所有算法的空间复杂度都是O(1)。六.使用说明 程序运行后用户根据提示一次输入车辆的状态信息,车牌编号,时间,程序会根据车辆的状态信息调用相应的函数,并输出用户想得到的信息。 七.调试结果 输入数据:(‘A’,1,5),(‘A’,2,10),(‘D’,1,15),(‘A’,3,20),(‘A’,4,25),(‘A’,5,30),(‘D’,2,35),(‘D’,4,40),(‘P’,0,0),(‘W’,0,0),(‘F’,0,0),(‘E’,0,0)。 输出数据:1号车停放时间为10小时,收费100元;2号车停放时间为25小时,收费250元;4号车停放5小时,收费50元;此时停车场有两辆车,便道上无车。若停车场已满,则会显示停车场已满的信息;若便道上无车等待停车,会显示便道上无车的信息;若中途有车离开,需其后的车让道,会显示进入临时停车场的车辆的信息;若输入(‘F’,0,0),输出“ERROR!”;若输入(‘E’,0,0),程序结束。运行结果截屏: 八.附录 源程序文件清单: #include /*调用的头文件库声明*/ #include /*用该结构体来存放车的状态,编号和时间信息 */ { char bb; int num; int time; };typedef struct stack /*用该栈来模拟停车场*/ {struct car G[n]; int top;}SqStack;struct rangweicar /*用该结构体来存放临时让出的车辆的编号以及时间信息*/ {int num;int time;};typedef struct stack /*用该栈来模拟临时让出的车辆的停靠场地*/ {struct rangweicar H[MAXSIZE];int topp;}SqStackk;#define QNODE struct Qnode QNODE { int data; /*链队结点的类型*/ QNODE *next; };typedef struct linkqueue /*用该链队来模拟便道*/ {QNODE *front,*rear; int geshu; }LinkQueue;void Judge_Output(SqStack *s,LinkQueue *q,struct car *r)/*该算法通过传递来的车辆信息调 { 用相关函数实现操作*/ if((*r).bb=='E'||(*r).bb=='e') /*若车辆状态为‘E’,终止程序*/ printf(“STOP!n”);else if((*r).bb=='P'||(*r).bb=='p') /*若车辆状态为‘P’,输出停车场车辆数*/ printf(“The number of parking cars is %dn”,(s->top)+1);else if((*r).bb=='W'||(*r).bb=='w') /*若车辆状态为‘W’,输出便道车辆数*/ printf(“The number of waiting cars is %dn”,q->geshu);else if((*r).bb=='A'||(*r).bb=='a') /*若车辆状态为‘A’,调用A_cars函数*/ A_cars(s,q,*r); else if((*r).bb=='D'||(*r).bb=='d') /*若车辆状态为‘D’,调用D_cars函数*/ D_cars(s,q,*r);else printf(“ERROR!n”); /*若车辆状态为其他字母,报错*/ } A_cars(SqStack *s,LinkQueue *q,struct car a) /*该算法实现对车辆状态为到达的车辆的操 {QNODE *t; 作*/ if(s->top!=n-1) /*若停车场还没有满,则车进停车场,并存入车辆的状态,车牌编 {(s->top)++; 号和到达时间信息*/ (s->G[s->top]).bb=a.bb; (s->G[s->top]).num=a.num; (s->G[s->top]).time=a.time;} else {printf(“The parking place is full!n”); /*若停车场已满,车进便道,并显示该车的车牌编 t=(QNODE *)malloc(sizeof(QNODE)); 号,同时记录便道车辆数目*/ t->data=a.num; t->next=NULL; q->rear->next=t; q->rear=t; printf(“the number of the car in the access road is:%dn”,q->rear->data); q->geshu++;} } int D_cars(SqStack *s,LinkQueue *q,struct car d) /*该算法实现车辆状态为离开的车 {int i,j,l; 辆的操作*/ float x,y;QNODE *p;SqStackk *k;if(d.num==(s->G[s->top]).num) /*若待离开车为最后进停车场的车的情况*/ {x=d.time-(s->G[s->top]).time; y=fee*x; /*直接计算停车时间,费用并离去*/ printf(“The time is %.2f hours,the fee is %.2f yuann”,x,y); if(q->geshu==0) /*若便道上无车,函数返回*/ {printf(“The queue is empty!n”); return 0; } Else /*若便道上有车,第一辆车进停车场*/ {p=q->front->next; q->front->next=p->next; (s->G[s->top]).num=p->data; /*并存入其车牌编号及进停车场的时间*/ (s->G[s->top]).time=d.time; free(p); q->geshu--; if(q->front->next==NULL) q->rear=q->front; /*若此时便道上无车,返回1*/ return 1; } } Else /*待离开的车不是最后进停车场的那辆车的情况*/ {for(i=0;i<(s->top);i++) /*先找到待离开车在停车场中的位置*/ {if((s->G[i]).num!=d.num)continue;else break;} if(i>=(s->top)) {printf(“ERROR!n”); return-1; } x=d.time-(s->G[i]).time; /*计算待离开车的停车时间并计算费用*/ y=fee*x; printf(“The time is %.2f hours,the fee is %.2f yuann”,x,y); k=(SqStackk *)malloc(sizeof(SqStackk)); /*设立一个新栈临时停放为该车离开而让 k->topp=-1; 路的车辆*/ for(j=(s->top);j>i;j--) {k->topp++;(k->H[k->topp]).num=(s->G[j]).num; (k->H[k->topp]).time=(s->G[j]).time; s->top--; } for(l=0;l<=(k->topp);l++){printf(“the information(number and time)in the new stack is:n”); printf(“%d,%dn”,(k->H[l]).num,(k->H[l]).time);} /*显示在新栈中的车辆信息*/ s->top--; while(k->topp>=0) /*将新栈中的车重新开入停车场中*/ {s->top++; (s->G[s->top]).bb='A'; (s->G[s->top]).num=(k->H[k->topp]).num; (s->G[s->top]).time=(k->H[k->topp]).time; k->topp--; } if(q->geshu==0) /*若便道上无车,则返回2,无车开入停车场中*/ {printf(“The access road is empty!n”); return 2; } Else /*若便道上有车,则第一辆车开入停车场中*/ {s->top++; p=q->front->next; q->front->next=p->next; (s->G[s->top]).num=p->data; (s->G[s->top]).time=d.time; free(p); q->geshu--; if(q->front->next==NULL) q->rear=q->front; return 3; } } } main() {SqStack *s; LinkQueue *q; QNODE *p; struct car aa[MAXSIZE]; int i; s=(SqStack *)malloc(sizeof(SqStack)); /*对停车场初始化*/ s->top=-1; q=(LinkQueue *)malloc(sizeof(LinkQueue)); p=(QNODE *)malloc(sizeof(QNODE)); /*对便道初始化*/ p->next=NULL; q->front=q->rear=p; q->geshu=0;printf(“******************************************************************************n”); printf(“************************* *************************n”); printf(“************************* 停车场管理系统 *************************n”); printf(“************************* *************************n”); printf(“******************************************************************************n”); for(i=0;i /*输入车辆信息*/ {printf(“Please input the state,number and time of the car:n”); scanf(“%c,%d,%d”,&(aa[i].bb),&(aa[i].num),&(aa[i].time)); getchar();Judge_Output(s,q,&aa[i]); if(aa[i].bb=='E')break; } } 17 辽东学院信息技术学院 《可编程逻辑器件原理及应用》教学实习报告 模拟停车场管理系统的设计 学生姓名: 学 号: 0915110606 班 级: B1106 专 业: 电子信息工程 指导教师: 2014年07月 【摘要】 随着人民生活水平的提高,我国汽车保有量不断提升,私家车的数量越来越多,在生活小区、办公场所、商场、旅游景点等地方,停车难问题也日益突出。解决停车难问题,除了加大基础设施投入(扩建停车场)外,有效地对现有的停车场进行合理分配、调度与管理,也是行之有效的措施,因而建设配套的停车场管理系统,对停车位进行有序地管理十分必要。目前,无论在生活小区或是在写字楼宇的建设规划中,均已对停车位的规划配备进行了充分考虑,停车场已成为人们生活服务的必备场所之一,停车场的数目增多,对停车场管理系统产生了强大的市场需求。基于上述两点需求,本文设计了一套停车场管理系统,从地感线圈、道闸、吐卡机、主控板到微机管理系统,全套软硬件系统实现了对场内车位有序地分配与调度。本文将从整体方案、硬件设计、软件设计、安装实施等方面进行全面地介绍该系统。同时,本套系统在比较市场同类产品的基础上,独到地将停车管理中的日常处理从上位机(微机)移至下位机(主控板),降低了整个系统对微机的依赖,显出了自己的竞争优势。 【关键词】:停车场、管理系统、无线射频卡 【Abstract】 With the improvement of people's living standard, car ownership in China is rising, more and more people own private cars, in the living quarters, offices, shopping malls, tourist attractions and other places, parking difficult issues are also increasingly prominent.To solve the parking problem, in addition to increase the investment of infrastructure(expansion of parking lot), effectively carries on the rational distribution, the existing parking lot scheduling and management, is the effective measure, parking management system and construction, the parking spaces for orderly management is very necessary.At present, no matter in the living quarters and office building planning, have parking space with the planning for a full account, parking has become one of the necessary place living services, the number of parking lots more, produced a strong market demand for parking management system.Based on the above two demands, this paper designs a set of parking management system, induction coil, main control gate, temple card machines, plate to the microcomputer management system from the ground, a full set of hardware and software system of the parking spaces and orderly allocation and scheduling.This paper from the overall system design, hardware design, software design, installation and other aspects of the implementation of a comprehensive introduction to the system.At the same time, the system based on the comparison of similar products on the market, will be unique to the daily management of parking from the host computer(PC)to the next machine(main control board), reduce the entire system to rely on the computer, showing its competitive advantage.Keywords:The parking lot, management system, radio frequency card 目录 绪论..........................................................................................................................1 1系统工作原理.......................................................................................................2 1.1 基本原理...................................................................................................2 2 设计......................................................................................................................2 2.1系统结构设计及分析................................................................................2 2.2分频模块....................................................................................................4 2.3消抖模块....................................................................................................4 2.4车牌显示模块...........................................................................................5 2.5 计时模块...................................................................................................5 2.6 费率器模块..............................................................................................6 2.7滚动模块....................................................................................................6 2.8译码模块....................................................................................................7 3 使用说明......................................................................................................8 4 结论......................................................................................................................8 参考文献..................................................................................................................9 附录A 源程序.....................................................................................................10 附录B 系统原理图...............................................................................................29 I 辽东学院信息技术学院EDA课程设计报告 绪论 近年来,随着中国经济的快速增长和国民收入的不断提高,汽车拥有量也日益增多,汽车已经逐步走进千家万户,使很多城市出现了“停车难”问题。为了满足日益增长的停车需求,大型、超大型停车场不断涌现,传统停车场管理系统已不能满足实际需求。存在的问题突出表现在:现有的停车场管理系统要求车辆在出入停车场时须停车验卡,车辆进出极其缓慢,经常造成上、下班堵车现象,不仅浪费时间,能源浪费也非常严重;随着停车场中车辆数量的增加,管理工作量和难度都成倍加大,传统停车场管理系统在安全性和效率方面暴露出严重的缺陷。因此,对现有的停车场管理系统进行升级更新,是停车场建设中的当务之急。本文针对上述实际问题,通过研究开发射频识别技术和红外通信技术,设计实现了一种远距离智能识别的停车场管理系统,以解决传统停车管理系统存在的问题。本设计基于射频芯片,设计了无线阅读器和无线智能电子标签通信系统,解决了远距离自动识别车辆信息的问题;所设计的车辆无线阅读识别系统与后台计算机管理系统相联结,便形成了一个功能强大和系统完善的远距离智能车辆识别系统。系统可以实现远距离车辆信息自动识别,实现车辆自动安全检测管理和收费等功能;系统还具有图像比对校验,车辆数据网络自动更新等新功能。远距离智能识别停车场管理系统将新颖的生活理念和建筑艺术、信息技术、计算机技术等现代高科技完美结合,提供的是一种操作简单、使用方便、功能先进的人性化系统。 辽东学院信息技术学院EDA课程设计报告 1系统工作原理 1.1 基本原理 当一辆车进入停车场,按键A被按下:计时器开始计时,同时把该车的车牌号和费率送入数码管显示(3个数码管显示拨码开关对应的十进制数:采用BCD码转换的方法;费率显示采用输入设置,可以通过按键+1的方式设置从1~99的费率)。当车驶出停车场时,按键B被按下,此时计时器停止计时,并把计时时间送入数码管显示(2位小时数、两位分钟数),同时显示车牌号信息及停车费用(三位显示:停车费用=停车时间×费率)。由于数码管位数不够采用滚动显示的方式。设计 2.1系统结构设计及分析 本系统主要包括以下七个模块:分频模块fpq,车牌BCD转换模块chepai,消抖模块xiaodou,计时模块jishi,费率模块feilvqi,滚动模块gundong,译码器模块ymq。先通过VHDL文本生成这些模块,再在顶层文件中调用这些模块。 系统的主程序流程图如图1所示。 辽东学院信息技术学院EDA课程设计报告 图1 辽东学院信息技术学院EDA课程设计报告 2.2分频模块 该模块对实验箱上的50MHz时钟进行分频,分成所需要的几种不同频率的时钟。分频的原理为:对50MHz时钟每来一个上升沿计一次数,当计数到一定值的时候,计数值清零并且让输出电平取反,根据计数值的不同可以得到不同的输出频率。在本设计中分频出0.1HZ,1HZ,100Hz,200Hz,500Hz的频率,以供不同的需要。 inst2fpqclkFP500HZoutFP200HZoutFP100HZoutFP1HZoutFP01HZout图2 2.3消抖模块 作为机械开关的键盘,在按键操作时,机械触点的弹性及电压突跳等原因,在触点闭合和开启瞬间会出现电压的抖动。为保证按键识别的准确性,在按键电压信号抖动的情况下不能进行状态输入。为此必须进行去抖动处理,消除抖动部分的电压信号,一般有硬件和软件两种方法。硬件就是加去抖动电路,这样可以从根本上解决按键抖动问题。软件消抖就是利用软件延时消抖,具体说就是当检测到高电平(有按键按下),1kHz脉冲来一个高电平计数值加1,一遇到低电平计数值清零,当计数值大于10时,说明按键是被真的按下,消除了抖动。本系统采用软件消抖。 xiaodouinst7dinclkdout图3 辽东学院信息技术学院EDA课程设计报告 2.4车牌显示模块 用8位拨码开关表示车号,拨码开关对应的8位二进制通过BCD转换为12位BCD码。 chepaikey[7..0]chep[11..0]inst图4 2.5 计时模块 当A键按下时,计时器开始计时,B键按下时,计时器停止计时,clk为计时脉冲,来一个上升沿,计数器加1,reset为总复位信号。 辽东学院信息技术学院EDA课程设计报告 2.6 费率器模块 初值为0,当费率在0-99范围内时,费率按键每按一次,费率加1。 图7 inst3feilvqiresetanjianfeilv[7..0]2.7滚动模块 滚动显示模块,当C=1时不滚动,但当C=0时滚动显示车牌、停车时间、及停车中费用。y[3..0]输出到译码器,sel为位选信号。 图8 gundongABdata[15..0]chep[11..0]price[11..0]feilv[7..0]clk_200Hzclk_1Hzsel[7..0]y[3..0]inst4辽东学院信息技术学院EDA课程设计报告 2.8译码模块 该模块将将输入的二进制信号译成相应的七段共阳极数码管的编码。数据输入data[3..0],译码输出led7s[6..0]。 图9 YMQIN4[3..0]DOUT7[6..0]inst8辽东学院信息技术学院EDA课程设计报告 使用说明 按键说明: A按键:为开始按钮,即当车辆进入停车场时,按下A开始计时,并显示进入车辆的车牌号及收费费率。B按键:为停止按钮,即当车辆驶出停车场时,按下B按钮,停止计时,并滚动显示,车牌号、停车时间及停车费用。费率按键:为费率设置按钮,每按一次,费率加1。Reset按钮为总复位按钮。clk为系统时钟,绑定到实验箱上的50MHz的时钟上,led7s[6..0]为数码管段选控制信号,sel[7..0]为8个数码管的位选控制信号。 测试方法:首先按下复位键,然后设置八位拨码开关的值(车牌号),此时,数码管显示三位车牌号信息和费率,按下费率按键可以设置费率的值(每按一次加1,范围0~99),过一段时间,按下B键,此时8位数码管会滚动显示,三位车牌号,四位停车时间和三位停车费。按下复位键,可重新测试。结论 模拟停车场管理系统,虽然在速度上我没能赶上大部分同学,但是经过在之前将近一个月的前期准备和一周的集中设计让我体会到了很多的东西。 虽然在查找资料中找到了一个相似的程序,但是还是有很多的错误。后来,进过与同课题的同学的一起分析和改进终于还是完成了可用的程序。 在这场战斗中我有很大的收获,首先我采用层次化结构化设计,将此项设计任务分成若干模块,规定每一模块的功能和各模块之间的接口,然后再将各模块联合起来调试,加深了我们对层次化设计的概念的认识。在设计的过程中,虽然遇到了很多困难,但是通过向老师和同学请教以及自己不断摸索、测试,一个个难题迎刃而解。从而提高了我独立发现问题、分析问题、解决问题的能力,其次,我也明白了一个道理,任何一件事情,只有亲自去做的时候才知道它到底难不难,有多难。我们就像小马过河中的小马,需要自己多去尝试。 辽东学院信息技术学院EDA课程设计报告 参考文献 [1].《 EDA技术实用教程》潘松,黄继业..北京:科学出版社,2006 [2].《 VHDL设计实例与仿真》姜雪松,吴钰淳,王鹰等..北京:机械工业出版社,2007 [3].《基于Quartus Ⅱ的FPGA/CPLD设计》李洪伟,袁斯华..北京:电子工业出版社,2006 辽东学院信息技术学院EDA课程设计报告 附录A 源程序 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fpq is port(clk:in std_logic; FP500HZout,FP200HZout,FP100HZout,FP1HZout,FP01HZout:out std_logic);end entity;architecture one of fpq is signal clkjs1:std_logic;signal clkjs2:std_logic;signal clkjs3:std_logic;signal clkjs4:std_logic;signal clkjs5:std_logic;signal cnq1:integer range 5000000 downto 0;signal cnq2:integer range 5000000 downto 0;signal cnq3:integer range 5000000 downto 0;signal cnq4:integer range 5000000 downto 0;signal cnq5:integer range 50000000 downto 0; 辽东学院信息技术学院EDA课程设计报告 begin mk1: process(clk) begin if clk'event and clk='1' then if cnq5<=2500000 then cnq5<=cnq5+1;else cnq5<=0;clkjs5<=not(clkjs5); end if;end if;FP01HZout<=clkjs5;end process;mk2:process(clk)begin if clk'event and clk='1' then if cnq1<=500 then cnq1<=cnq1+1; else cnq1<=0;clkjs1<=not(clkjs1); 辽东学院信息技术学院EDA课程设计报告 end if;end if;FP500HZout<=clkjs1;end process;mk3:process(clk)begin if clk'event and clk='1' then if cnq2<=12500000 then cnq2<=cnq2+1;cnq2<=cnq2+1;else cnq2<=0;clkjs2<=not(clkjs2);end if;end if;FP200Hzout<=clkjs2;end process;mk4:process(clk)begin if clk'event and clk='1' then if cnq3<2500 then cnq3<=cnq3+1; 辽东学院信息技术学院EDA课程设计报告 else cnq3<=0;clkjs3<=not(clkjs3);end if;end if;FP100HZout<=clkjs3;end process;mk5:process(clk)begin if clk'event and clk='1'then if cnq4<250000000 then cnq4<=cnq4+1;else cnq4<=0;clkjs4<=not(clkjs4);end if;end if;FP1HZout<=clkjs4;end process;end;library ieee;use ieee.std_logic_1164.all; 辽东学院信息技术学院EDA课程设计报告 use ieee.std_logic_unsigned.all;entity xiaodou is port(clk:in std_logic; din:in std_logic; dout:out std_logic);end xiaodou;architecture arc of xiaodou is begin process(clk,din) variable temp:integer; begin if clk'event and clk='1' then if(din='0')then temp:=0; end if; if temp>15 then dout<='1'; else dout<='0'; end if; 辽东学院信息技术学院EDA课程设计报告 temp:=temp+1; end if; end process; end arc;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity chepai is port(--clk : in std_logic;--时钟输入 key : in std_logic_vector(7 downto 0);--键入 --l : out std_logic_vector(7 downto 0);--输出数码管位选 std_logic_vector(11 downto 0)--数码管段码 chep : out);END chepai;architecture an of chepai is signal clock : std_logic;--分频后时钟 signal p : integer range 0 to 255; signal b0,b1,b2 : integer range 0 to 9;--显示数位寄存器,b0表示个位,signal cnt : integer range 0 to 3:=0;--数码管位选扫描程序 begin p<=conv_integer(key);--数据输入 辽东学院信息技术学院EDA课程设计报告 process(p)--显示查表进程 functionb_to_s4(bcd8421:integer 0 tO 9)return std_logic_vector is--BCD std_logic_vector variable smg4: std_logic_vecto(3 downto 0); begin case bcd8421 IS WHEN 0 => smg4:=“0000”;--0 WHEN 1 => smg4:=“0001”;--1 WHEN 2 => smg4:=“0010”;--2 WHEN 3 => smg4:=“0011”;--3 WHEN 4 => smg4:=“0100”;--4 WHEN 5 => smg4:=“0101”;--5 WHEN 6 => smg4:=“0110”;--6 WHEN 7 => smg4:=“0111”;--7 WHEN 8 => smg4:=“1000”;--8 WHEN 9 => smg4:=“1001”;--9 when others=>null; END CASE; RETURN smg4; END b_to_s4;begin case p is --计算输出值 --gfedcba 共阳 辽东学院信息技术学院EDA课程设计报告 when 0|10|20|30|40|50|60|70|80|90|100|110|120|130|140|150|160|170 |180|190|200|210|220|230|240|250=>b0<=0;when 1|11|21|31|41|51|61|71|81|91|101|111|121|131|141|151|161|171 |181|191|201|211|221|231|241|251=>b0<=1;when 2|12|22|32|42|52|62|72|82|92|102|112|122|132|142|152|162|172 |182|192|202|212|222|232|242|252=>b0<=2;When 3|13|23|33|43|53|63|73|83|93|103|113|123|133|143|153|163|173 |183|193|203|213|223|233|243|253=>b0<=3; When 4|14|24|34|44|54|64|74|84|94|104|114|124|134|144|154|164|174 |184|194|204|214|224|234|244|254=>b0<=4; When 5|15|25|35|45|55|65|75|85|95|105|115|125|135|145|155|165|175 |185|195|205|215|225|235|245|255=>b0<=5; When 6|16|26|36|46|56|66|76|86|96|106|116|126|136|146|156|166|176 |186|196|206|216|226|236|246=>b0<=6; When 7|17|27|37|47|57|67|77|87|97|107|117|127|137|147|157|167|177 |187|197|207|217|227|237|247=>b0<=7; When 辽东学院信息技术学院EDA课程设计报告 8|18|28|38|48|58|68|78|88|98|108|118|128|138|148|158|168|178 |188|198|208|218|228|238|248=>b0<=8;When 9|19|29|39|49|59|69|79|89|99|109|119|129|139|149|159|169|179 |189|199|209|219|229|239|249=>b0<=9; when others=> end case;case p is when 0|1|2|3|4|5|6|7|8|9|100|101|102|103|104|105|106|107|108|109 |200|201|202|203|204|205|206|207|208|209=>b1<=0;when 10|11|12|13|14|15|16|17|18|19|110|111|112|113|114|115|116|117 |118|119|210|211|212|213|214|215|216|217|218|219=>b1<=1;when 20|21|22|23|24|25|26|27|28|29|120|121|122|123|124|125|126|127 |128|129|220|221|222|223|224|225|226|227|228|229=>b1<=2;when 30|31|32|33|34|35|36|37|38|39|130|131|132|133|134|135|136|137 |138|139|230|231|232|233|234|235|236|237|238|239=>b1<=3;when 40|41|42|43|44|45|46|47|48|49|140|141|142|143|144|145|146|147 辽东学院信息技术学院EDA课程设计报告 |148|149|240|241|242|243|244|245|246|247|248|249=>b1<=4;when 50|51|52|53|54|55|56|57|58|59|150|151|152|153|154|155|156|157 |158|159|250|251|252|253|254|255=>b1<=5;when 60|61|62|63|64|65|66|67|68|69|160|161|162|163|164|165|166|167 |168|169=>b1<=6;when 70|71|72|73|74|75|76|77|78|79|170|171|172|173|174|175|176|177 |178|179=>b1<=7;when 80|81|82|83|84|85|86|87|88|89|180|181|182|183|184|185|186|187 |188|189=>b1<=8;when 90|91|92|93|94|95|96|97|98|99|190|191|192|193|194|195|196|197 |198|199=>b1<=9; when others=>--b0<=10;end case;if p<100 then b2<=0;elsif p>=100 and p<200 then b2<=1; 辽东学院信息技术学院EDA课程设计报告 elsif p>=200 then b2<=2;end if;chep(3 downto 0)<=b_to_s4(b0);chep(7 downto 4)<=b_to_s4(b1);chep(11 downto 8)<=b_to_s4(b2);end process;end an;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jishi is port(A,B,reset:in std_logic; clk_minhz:in std_logic; data:out std_logic_vector(15 downto 0));end;architecture one of jishi is signal data1:std_logic_vector(15 downto 0);signal c:std_logic;begin process(clk_minhz,reset,A,B)begin 辽东学院信息技术学院EDA课程设计报告 if reset='1' then data1(15 downto 0)<=“***0”;else if(A'event and A='1')then c<='1';end if;if B='1' then c<='0';end if;if c='1' then if clk_minhz'event and clk_minhz='1' then if data1(3 downto 0)=“1001” then data1(3 downto 0)<=“0000”;if data1(7 downto 4)=“0101”then data1(15 downto 8)<=data1(15 downto 8)+1; data1(7 downto 4)<=“0000”; else data1(7 downto 4)<=data1(7 downto 4)+1;end if;else data1(3 downto 0)<=data1(3 downto 0)+1;end if;end if;elsif c='0' then 辽东学院信息技术学院EDA课程设计报告 data(15 downto 0)<=data1(15 downto 0);end if;end if;end process;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity feilvqi is port(reset:in std_logic; clk_minhz:in std_logic; feilv:out std_logic_vector(7 downto 0));end feilvqi;architecture one of feilvqi is signal feilv1:std_logic_vector(7 downto 0);--signal c:std_logic;begin process(reset,clk_minhz)begin if reset='1'then feilv1<=“00000000”;elsif clk_minhz'event and clk_minhz='1'then 辽东学院信息技术学院EDA课程设计报告 if feilv1(3 downto 0)=“1001”then feilv1(3 downto 0)<=“0000”;if feilv1(7 downto 4)=“1001”then feilv1(7 downto 4)<=“0000”;else feilv1(7 downto 4)<=feilv1(7 downto 4)+1;end if;else feilv1(3 downto 0)<=feilv1(3 downto 0)+1;end if;end if;feilv<=feilv1;end process;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity gundong is port(A,B:in std_logic; data:in std_logic_vector(15 downto 0); chep:in std_logic_vector(11 downto 0); feilv:in std_logic_vector(7 downto 0); clk_200HZ,clk_1HZ: in std_logic; 辽东学院信息技术学院EDA课程设计报告 sel:out std_logic_vector(7 downto 0); y:out std_logic_vector(3 downto 0)); end;architecture body_chooser of gundong is signal c:std_logic;signal count:std_logic_vector(2 downto 0);signal cnt: std_logic_vector(3 downto 0);begin--process(clk_200hz)--begin process(A,B)begin if(A'event and A='1')then c<='1';end if;if b='1' then c<='0';end if;end process;process(clk_200HZ)begin if(clk_200Hz'event and clk_200HZ='1')then 辽东学院信息技术学院EDA课程设计报告 if count<“111” then count<=count+1;else count<=“000”;end if;end if;end process;process(clk_1HZ)begin if clk_1HZ'event and clk_1HZ='1' THEN IF cnt<“1100” then cnt<=cnt+1;else cnt<=“0000”;end if;end if;end process;process(c,cnt,count)begin if c='1' then case count is when “000”=>y<=“0001”;sel<=“00000001”;when“001”=>y<=data(11 downto 8);sel<=“00010000”;when“010”=>y<=data(7 downto 4);sel<=“00001000”; 辽东学院信息技术学院EDA课程设计报告 when“011”=>y<=data(3 downto 0);sel<=“00000100”;--when “001”=>y<=“1010”;sel<=“00001000”;when“100”=>y<=chep(3 downto 0);sel<=“00100000”;when“101”=>y<=chep(7 downto 4);sel<=“01000000”;when“110”=>y<=chep(11 downto 8);sel<=“10000000”;when others=>null;end case;elsif cnt=“0000” then case count is when “000”=>y<=chep(11 downto 8);sel<=“10000000”;when “001”=>y<=chep(7 downto 4);sel<=“01000000”;when “010”=>y<=chep(3 downto 0);sel<=“00100000”;when“011”=>y<=data(15 downto 12);sel<=“00010000”;when“100”=>y<=data(11 downto 8);sel<=“00001000”;when“101”=>y<=data(7 downto 4);sel<=“00000100”;when“110”=>y<=data(3 downto 0);sel<=“00000010”;when “111”=>y<=“0000”;sel<=“00000001”; when others=>null;end case;elsif cnt=“0001” then case count is 辽东学院信息技术学院EDA课程设计报告 when“000”=>y<=feilv(3 downto 0);sel<=“00000001”;when“001”=>y<=feilv(7 downto 4);sel<=“00000010”; when others=>null;end case;elsif cnt=“0010” then elsif cnt=“0011” then elsif cnt=“0100” then elsif cnt=“0101” then elsif cnt=“0110” then elsif cnt=“0111” then elsif cnt=“1000” then elsif cnt=“1001” then elsif cnt=“1010” then elsif cnt=“1011” then elsif cnt=“1100” then case count is when“000”=>y<=“1010”;sel<=“10000000”;when“001”=>y<=chep(11 downto 8);sel<=“01000000”;when“010”=>y<=chep(7 downto 4);sel<=“00100000”;when“011”=>y<=chep(3 downto 0);sel<=“00010000”; 辽东学院信息技术学院EDA课程设计报告 when“100”=>y<=“1010”;sel<=“00001000”;when“101”=>y<=data(15 downto 12);sel<=“00000100”;when“110”=>y<=data(11 downto 8);sel<=“00000010”;when“111”=>y<=data(7 downto 4);sel<=“00000001”;when others=>null;end case;end if;end process;end body_chooser;ry ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ymq is port(y:in std_logic_vector(3 downto 0);led7s:out std_logic_vector(6 downto 0));end;architecture one of ymq is begin process(y)begin case y is when“0000”=>led7s<=“1000000”;--0 when“0001”=>led7s<=“1111001”;--1 when“0010”=>led7s<=“0100100”;--2 when“0011”=>led7s<=“0110000”;--3 when“0100”=>led7s<=“0011001”;--4 when“0101”=>led7s<=“0010010”;--5 when“0110”=>led7s<=“0000010”;--6 when“0111”=>led7s<=“1111000”;--7 when“1000”=>led7s<=“0000000”;--8 when“1001”=>led7s<=“0010000”;--9 libra 辽东学院信息技术学院EDA课程设计报告 when others=>null;end case; 附录B 系统原理图 大手控制 www.xiexiebang.com |引|领|停|车|管|理|新|概|念| 停车场收费管理系统 停车场收费管理系统是指基于现代化电子与信息技术,在停车区域的出入口处安装自动识别装置,通过非接触式卡或车牌识别来对出入此区域的车辆实施判断识别、准入/拒绝、引导、记录、收费、放行等智能管理,其目的是有效的控制车辆与人员的出入,记录所有详细资料并自动计算收费额度,实现对场内车辆与收费的安全管理。 出入口处安装自动识别装置,通过非接触式卡或车牌识别来对出入此区域的车辆实施判断识别、准入/拒绝、引导、记录、收费、放行等智能管理,其目的是有效的控制车辆与人员的出入,记录所有详细资料并自动计算收费额度,实现对场内车辆与收费的安全管理。停车场收费管理系统集感应式智能卡技术、计算机网络、视频监控、图像识别与处理及自动控制技术于一体,对停车场内的车辆进行自动化管理,包括车辆身份判断、出入控制、车牌自动识别、车位检索、车位引导、会车提醒、图像显示、车型校对、时间计算、费用收取及核查、语音对讲、自动取(收)卡等系列科学、有效的操作。这些功能可根据用户需要和现场实际灵活删减或增加,形成不同规模与级别的豪华型、标准型、节约型停车场收费管理系统。 停车场收费管理系统的组成 1、入口部分: 入口部分主要由入口票箱(内含感应式ID卡读写器、自动出卡机、车辆感应器、语音提示系统、语音对讲系统)、自动路闸、车辆检测线圈、入口摄像系统等组成。 2、出口部分: 出口部分主要由出口票箱(内含感应式ID卡读写器、自动吞卡机、语音提示系统、语音对讲系统)、自动路闸、车辆检测线圈、出口摄像系统等组成。 3、收费控制处: 收费控制处设备由收费控制电脑、UPS,报表打印机、操作台、入口手动按 大手控制 www.xiexiebang.com |引|领|停|车|管|理|新|概|念| 钮、出口手动按钮、语音提示系统、语音对讲系统组成[2]。 主要设备 停车场收费管理系统配置包括停车场控制器(也叫停车场出入口控制器)、自动吐卡机、远程遥控、远距离卡读感器、感应卡(有源卡和无源卡)、自动道闸、车辆感应器、压力波检测器、地感线圈、通讯适配器、摄像机、传输设备、停车场系统管理软件等。 这种系统有助于公司企业、政府机关等对于内部车辆和外来车辆的进出进行现代化的管理,对加强企业的管理力度和提高公司的形象有较大的帮助。 控制器介绍 停车场专用控制器:专为停车场系统自主研制,四层板设计,信号和电源分层走线,集成度高、可靠性强,功能全面,接口丰富,电压适应范围大,防脉冲冲击,确保使用的安全性和可靠性。 停车场收费管理系统设立在小区内,有若干入口和出口。所有出入口都要求联网,实现数据通讯,并采用统一的计算机管理。最远的出入口之间的距离约为800米,和两个办公楼的地下室设有一个出入口,整个停车场采用IC卡和视频图像管理。 停车场收费管理系统流程 车辆感应IC卡,可以存储持卡人的各种信息。感应IC卡安装在每辆车的驾驶室里面,当车驶过读感器的感应区(离读感器2米左右)时,感应IC卡通过读感器发过来的激发信号产生回应信号发回给读感器。读感器再将这个读取信号传递给停车场控制器,停车场控制器收到信息后,经自动核对为有效卡后,车闸自动开启,数字录像机开始录像,拍下该车进入时的照片,电脑记录车子牌号及驾驶员姓名和进出入的信息。 临时车收费功能,临时车进场时从出票机中领取临时卡,出场时需缴纳规定的费用,并经保安确认后方能离开。临时车进入停车场时,地感线圈自动检测到车辆的到来,自动出票机的中文电子显示屏上显示“欢迎光临,请取卡”。根据出票机上的提示,司机按“入口自动出票机”上的出票按钮,自动出票机将自己 大手控制 www.xiexiebang.com |引|领|停|车|管|理|新|概|念| 吐出一张感应IC卡,并且读卡器已自动读完临时卡。道闸开启,MP4NET数字录像机启动拍照功能,控制器记录下该车进入时间。临时车驶出停车场时,司机将感应IC卡(临时卡)在出口票箱处的感应区一晃,停车场控制自动检测出是临时卡,道闸将不会自动开启。出口票箱的中文电子显示屏上显示“请交**元”,司机将卡还给保安,交完费后,保安将各市停车特用发票给司机。交完费后,经保安载收费电脑上确认,道闸开启,数字录像机启动拍照功能,照片存入电脑硬盘,控制器记录下该出场时间。临时车将实行按次和时间停车交费,交费条件由用户自己在电脑的管理软件中设置。 大手控制 www.xiexiebang.com |引|领|停|车|管|理|新|概|念| 停车场收费管理系统功能 可选用读感距离和近距离两种形式,选远距离时,采用车载 有源卡最远可达到3-25米。可以根据用户实际需要进行选择。 图像对比功能:车辆进出停车场时,摄像机自动启动摄像功能,并将照片文件存储在电脑里。出场时,电脑自动将新照片和该车最后入场的照片进行对比,监控人员能实时监视车辆的安全情况。 常用卡管理:固定车主使用常用卡,确定有效期限(可精确到分、秒),在确认的时限内可随意进出车场,否则不能进入车场,常用卡资料包括卡号、车号、有效时间等。常用卡实行按月交费,到期后软件和中文电子显示屏上将提示该卡已到期,请办理续期和交款手续。 临时车收费功能,临时车进场时从出票机中领取临时卡,出场时需缴纳规定的费用,并经保安确认后方能离开。临时车进入停车场时,地感线圈自动检测到车辆的到来,自动出票机的中文电子显示屏上显示“欢迎光临,请取卡”。根据出票机上的提示,司机按“入口自动出票机”上的出票按钮,自动出票机将自己吐出一张感应IC卡,并且读卡器已自动读完临时卡。道闸开启,摄像机启动拍照功能,控制器记录下该车进入时间。临时车驶出停车场时,司机将感应IC卡(临时卡)在出口票箱处的感应区一晃,停车场控制自动检测出是临时卡,道闸将不会自动开启。出口票箱的中文电子显示屏上显示“请交**元”,司机将卡还给保安,交完费后,保安将各市停车特用发票给司机。交完费后,经保安载收费电脑上确认,道闸开启,MP4NET数字录像机启动拍照功能,照片存入电脑硬盘,控制器记录下该出场时间。临时车将实行按次和时间停车交费,交费条件由用户自己在电脑的管理软件中设置。 自动切换视频,进出场无冲突。所有摄入的车辆照片文件存在电脑的硬盘中,可备以后查证。每一幅图片都有时间记录,查验方便。 实时监视功能:无车进入时,可在监控电脑上实时监视进出口的车辆及一切事物的活动情况。 支持永久卡和临时卡的工作方式,自动识别,记录存储。 防砸车功能:当车辆处于道闸的正下方时,地感线圈检测到车辆存在,道闸 大手控制 www.xiexiebang.com |引|领|停|车|管|理|新|概|念| 将不会落下,直至车辆全部驶离其正下方。 满位检测功能:在管理电脑中设置好该停车场的车位,如进入该停车场的车辆到达车位数时,电脑提醒管理员,并在电子显示屏上显示车位已满。 支持脱机运行,网络中断或PC故障时,停车场系统工作正常。手动控制功能,停电时道闸能正常使用。系统自动维护,数据自动更新,自动检测复位。 停车场控制器支持局域网网络通讯功能,可实现多个出入口的联网。出入口联网时,必须安装局域网网络服务器和通讯服务器。 支持Wiegand26、Wiegand27、Wiegand32读感器格式,自动检测输入。支持5000个用户,可编辑用户详细信息。各种事件查询功能,提供摄像的图片时间查询。 强大的报表功能,能生成各类报表,并提供多功能数据检索。具有延时、过压、欠压自动保护。 停车场收费管理系统应用 随着科技的进步和人类文明的发展停车场无论是从外形、功能、操作方面都有跨越式的改进,国内目前最薄的停车场收费管理系统达到令人惊讶的170MM的厚度,而且配备双发卡模式和双倍卡量来降低故障率。 智能停车场收费管理系统在住宅小区、大厦、机关单位的应用越来越普遍。而人们对停车场管理的要求也越来越高,智能化程度也越来越高,使用更加方便快捷,也给人类的生活带来了方便和快乐。不仅提高了现代人类的工作效率,也大大的节约了人力物力,降低了公司的运营成本,并使得整个管理系统安全可靠。包括车辆人员身份识别、车辆资料管理、车辆的出入情况、位置跟踪和收费的管理等等。 停车场收费管理系统发展 前些年,我国停车场智能管理技术还是一片空白,也谈不上技术理念。为了摆脱这种现状,有关部门采取了相应的措施,制定了长远的发展规划,为我国停 大手控制 www.xiexiebang.com |引|领|停|车|管|理|新|概|念| 车场收费管理系统奠定了坚实的基础,技术获得较快发展。 目前,随着新的智能化停车管理技术不断发展,停车场管理正在向更开放、更灵活的方向发展。今后,车库系统将作为楼宇自控系统的一个子系统纳入建筑自动化网络,形成一个综合的计算机管理网络信息系统。远距离的管理人员可以通过联网的方式,直接监视与控制车库情况;停车场的信息也可通过网络传送到各部门,再由中心计算机对各部门的信息统一管理,形成决策支持信息系统,确保管理人员做出正确的决策。 停车场收费管理系统的一个主流技术是采用感应式IC/ID卡和图像识别作为管理手段,同时具有红外遥感控车门开关和防盗报警及倒车限位等功能。这套系统是伴随着ID/IC卡、近距RF射频识别卡、远距离RF射频识别卡等新型识别技术的兴起而发展起来的。它克服了磁卡及条码技术的缺点,具有寿命长、使用方便、不易损坏、高保密性等特点,使停车场智能管理上升到一个新的高度。 感应式ID/IC卡加图像识别的管理技术,支持远距离和近距离两种读感器,支持永久卡和临时卡的工作方式,具有自动识别、记录存储等功能,能够防止资金流失。由于这项技术是图形对比与ID卡配合使用,达到防盗车的目的。 此外,目前业内还有一种主流技术:非接触RFID卡识别技术。它继承了IC/ID卡技术的优点,保密性高,省去了刷卡过程,提高了识别速度。由于该技术在识别系统中不存在活动机械装置,杜绝了塞卡现象,提高了系统的可靠性。对于收费停车场而言,识别系统的可靠性就意味着停车场的可靠性。因此,只读式RFID非接触识别卡成为停车场收费管理系统中理想的识别技术。 停车场收费系统是小区建设的必然趋势 随着社会的进步和科学技术的迅猛发展,社会生活方式在不断变化,人类开始迈入以数字化、网络化为平台的智能化社会。人们追求人性化、智能化的生活条件。其中正在兴起的智能化小区,反映和适应了社会信息化和智能化的要求,是21世纪住宅的发展方向。 智能化小区为住户提供了一种更加安全、舒适、方便、快捷和开放的智能化、信息化的生活空间,同时,它依靠高科技,实现了回归自然的环境氛围,促进了 大手控制 www.xiexiebang.com |引|领|停|车|管|理|新|概|念| 优秀的人文环境发展,并依托先进的科学技术,实现小区物业运行的高效化、节能化、环保化。 根据这一发展形势,建设部1999年发布了《全国住宅小区智能化技术示范工程工作大纲》。目前,建设部正组织实施全国住宅小区智能化示范工程,根据建设部规定,将智能建筑分为三个层次:即普及型住宅小区、先进型住宅小区与领先型住宅小区。三个层次都将一卡通管理系统作为大纲的基本要求,如今一卡通系统已成为楼市经营的必备内容和重要卖点,而智能停车场收费管理系统又是一卡通管理系统的重要组成部分,是智能小区建设的必然。 智能停车场收费管理系统采用先进技术和高度自动化的机电设备,将机械、电子计算机和自控设备以及智能IC卡技术有机地结合起来,通过电脑管理可实现车辆出入控制管理、自动数据存储等功能,实现自动化运行,并提供—种高效管理服务的系统。非接触式IC卡是智能IC卡技术中应用最广的一种。非接触式IC卡停车场收费管理系统是目前较先进的电脑收费管理系统之一,具有方便快捷、收费准确可靠、保密性好、灵敏度高、使用寿命长、形式灵活、功能强大等众多优点,是磁卡、接触式IC卡所不能比拟的,它已取代磁卡、接触式IC卡而成为应用的主流。文章来源自:www.xiexiebang.com 《物流管理模拟实验》 实习报告 班 级:组(企业)号:姓 名:学 号 : 电子商务1001班 No.xx 1002110105 目 录 1、概述.............................................................3 1.1实习目的..........................................................................................................................3 1.2实习手段..........................................................................................................................3 1.3实习进程安排.................................................................................................................3 1.4实习原理..........................................................................................................................3 1.5实习的平台.........................................................................................................................3 2、实习过程及实习内容...........................................................................................................3 2.1实习主要阶段性工作安排..........................................................................................3(1)实习准备.............................................................................................................3(2)第一阶段............................................................................................................3(3)第二阶段............................................................................................................4(4)第三阶段............................................................................................................4(5)第四阶段............................................................................................................4 2.2实习收获、感想、认识、评价等............................................................................4 3、实习总结.................................................................................................................................6 1、概述 1.1实习目的: 通过对奥拓物流软件进行模拟,掌握物流运作的基本流程,从而让我们了解物流的知识,更好的面对以后关于物流上面的工作和问题,小组成员能够通过这次实习能够熟悉掌握软件的使用,提高我们在物流管理中的信息技术能力的水平提高,学习现代物流思想,同时培养学生的实际操作能力和决策能力。 1.2实习手段: 在15教的机房运用奥派物流软件进行实习 1.3实习进程安排: 周一到周四下午 14:00-18:00 四个小时,师生答疑和上机共同进行。周五撰写实习报告,下周二交到指定教室。 1.4实习原理: 通过奥派物流软件平台的运作,让我们在大学中了解物流实验内容的丰富,次物流软件平台的内容也基本上囊括了所有物流的运作全过程。它具体包括有:货贷、仓储、运输、配送等系统,营销、管理、财务等各个方面的需求,学生通过每天的上机来熟练物流的运营流程,从而提高学生的专业学习以及运用能力,从而提高各个方面的素质。 1.5实习的平台: 奥派物流实践教学平台 2、实习过程及实习内容 2.1实习主要阶段性工作安排 (1)实习准备: 在实习开始前,我们根据老师的要求,五个人一个小组,其中每个成员都分配好自己的角色,包括采购商、采购商仓储、供应商、供应商仓储、运输五个角色,分配好后输入相应的IP地址,进入奥派物流软件平台,个人注册完成,以及各个模块的注册完成,等待老师审核完成即可。(2)第一阶段: 各个模块的注册完成,建立自己的集团以及自己的分属公司—张洁集团采购商公司。 (3)第二阶段: 建立相应的客户关系。根据自己的角色,采购商应该和供应商和采购仓储建立相应的客户关系。(4)第三阶段: 根据采购的商品进行相应的汇款、运输等。(5)第四阶段: 交易完成,任务结束。 2.2实习收获、感想、认识、评价等 在这次的物流角色的模拟中,自己的角色是采购商,根据自己的职责,做出相应的措施.在这次的实习过程中有顺利成功的地方也有不顺利失败的地方,成功的地方让我熟练的记住这个知识点,失败的有疑问的地方让我去寻找正确的答案,然后更好的丰富自己的知识。通过这一个周的实训加上这学期物流与供应链的知识的学习,让我把这两门很好的结合,让我更加进一步的认识到物流的相关知识,让我对物流的定义、基本功能、操作流程等有了很明确的概念和理解。首先,了解并学习了奥派物流软件平台的操作,从学生注册、模块注册,到各个公司的建立,采购商和供应商达成交易,到最后的商品出库等等,一系列的操作流程,让我从一个根本一无所知到后来正确的明白各个环节在物流过程中的作用和地位,这对我来都是收获颇丰和受益匪浅的。 现在就自己是一个采购商的角色,来具体的说说这次实验中自己的任务的完成情况。(1)因为自己的角色是采购商1002110107,所以建立属于自己组集团的分属公司—张洁集团采购商公司。自己采购商与供应商1002110112和采购仓储1002110109建立客户关系。 (2)采购商采购商品,进行询价,询价成功供应商1002110112拟写合同,并且双方合同达成一致。 (3)收到张洁集团供应商公司1002110112的缴费单信息,对自己采购的商品进行汇款。 (4)供应商仓储1002110116进行发货,采购商将采购到的商品进行入库,转给张洁集团采购仓储公司1002110109。如果采购仓储给采购商有一定的运输费,那么采购商要给采购仓储一定的运输费,如果没有采购商的工作结束。 3、实习总结 为期一周的物流实习就这样顺利的结束了,在这几天对物流管理上级模拟软件的学习,我真的了解到了物流工作的复杂性,但是在这次的实习中,我也充分的了解物流企业相关的运营才做程序,增加了自己关于这个方面的知识,讲我们所学到的专业知识和具体实践相结合,提高了知识的有用性,对针对这些操作每天都有不同的心得体会,而且发现了不同的问题,让我在实习中真正的了解并吸收到了课堂中学到的知识。 在经济全球化进程不断加速,我国企业面临的生存竞争发展的压力越来越大的情况下,改变企业现状,建立较为科学的管理体系,使之尽快向现代企业转变,已成为我国企业的基本共识。引入现代物流理念,改变传统流通方式,整合各种社会资源,提高生产效率,降低经营成本,获取最大利润以增强企业市场竞争能力,亦是我国企业追求目标。在这一过程中,物流人才的极度匮乏,严重制约了我国物流产业整体水平的提高,尤其大量从业人员无论从理念、思维方式,还是在知识结构、创新能力方面,都与国际先进水平有很大差距,直接影响到我国物流业现代化速度。随着物流业务的范围不断扩大,商业机构和各大公司面对日趋激烈的竞争不得不将主要精力放在核心业务上,将运输、仓储等相关业务环节交由更专业的物流企业进行操作,以求节约和高效,第三方物流由此产生,所以简单的说,第三方物流就是商品生产经营企业把原来属于自己处理的物流活动,以合同方式委托 给专业物流企业,让专业物流企业来完成自己货物运输的任务。第三方物流企业也从当了货物生产商和货物接受商之间的纽带。第三方物流可降低生产企业运作成本。专业的第三方物流提供商利用规模生产的专业优势和成本优势,通过提高各环节资源的利用率实现费用节省,使企业能从分离费用结构中获益。对于生产性企业来说,物流成本在整体生产成本中占据了较大的比重。另外由于企业使用外协物流作业,可以事先得到物流服务供应商申明的成本或费用,可变成本转变成不变成本,稳定的成本使得规划和预算手续更为简便,这也是物流外包的积极因素。本次第三方物流模拟实验确实让我掌握了物流系统各个环节的运行,以及企业如何利用第三方物流公司来实现货物运输和物流功能的共享。同时,通过本次模拟实验亲手尝试,也拓宽自己的物流知识。虽然这次实验模拟只有作为第三方来参与企业间的货物往来,可在一系列的操作中,还是处处都涉及到物流基本知识,我想无论是第一方,还是第二、三方物流,期间都有相同的、本质的、不变的管理理念和模式,即在保证货物及时送到的同时如何节省运输成本。实验课锻炼我们的实践技能,在培养科学严谨、求真务实的工作作风中,也懂得了通过思考并运用理论知 识解决实际操作中的问题的能力。第三方物流企业的信息化建设目标应是针对整个企业的供应链综合管理,实施企业级的信息系统建设。这样才能跨越部门的界限,实现各个部门的数据和信息的互联互通,并在此基础上,实现信息的集中查询和集中发放。我国第三方物流企业应在借鉴西方发达国家的第三方物流发展经验的基础上,广泛运用计算机技术以及通信技术提高企业自身的运输效率和服务能力,增强核心竞争力,也只有这样,才能在市场竞争中将企业做大做强 模拟始终只是让我们简单的认识下第三方物流的基本操作及其中可能面临的问题可无论如何,收获还是不少的,至少对我来说。模拟系统也许还有很多需要改正的方面,好多都是老师设置好的,而且最重要的都是在已经确定的合作伙伴中进行,不牵扯同一个性质不同公司之间的竞争,在发布产品信息时介绍产品不是很细致,在现实中各个部门之间的合作是有竞争存在的,所以人们最初的选定是根据企业介绍的产品信息来选择的。 现在就这次实习中的我成功的地方和遇到的问题来简单的谈谈。首先是成功的地方,我们小组的成员非常的团结,相互体谅,遇到问题我们能够相互帮助及时的解决问题,为我们的任务完成提高了很大的效率。其次,在实习的过程中,我们非常的注重我们的操作的速度,但是是在每个模块都正确的完成的前提下,这样使我们的物流速度非常的快速。最后,也是非常重要的一点,因为是第一次参加这个实习,所以中间比然后出现很多的问题,所以我们成员之间都是有问题就马上大胆的提问老师,同时还帮助别的小组的有问题的同学,这样也提高和巩固了自己的知识。 再者我来说说我们实习中遇到的问题,首先是作为一个采购商,在采购商品的时候,询价给与供应商自己想要的合理价格吗,但是给供应商发过去的订单还是原价,针对这个问题,我们问了老师,老实说这可能是系统的问题,让我们还是按原价进行交易。第二,有些细节上设置还不是很清楚,比如运输时日起设置长达一个月,导致商品到不了采购商仓储中心,从而然我们无法完成下面的步骤。第三,由于供应商订单太多,操作不当订单过期后要赔钱。 当然,遇到问题就要想尽一切的办法去解决。运输时间一定要注意时间的设置尽量短,然后尽快的运到采购商仓储中心,提高我们集团的运作效率;量力而行,在自己能接受的情况下合理的接受订单;小组成员各公司之间要加强沟通和合作的能力,提高物流的速度。总而言之,在上机的这几天里面,让我学到了书本上无法学到的知识,这是对课本知识的再度升华,这次的实习对我以后要从事物流方面的工作得到了一个很好的准备。 以前对于物流管理概念的认识,仅仅是停留在前线的书本知识,而对于物流这个概念,其实早已在我们这些网购的人群中很熟悉了,但是我们很难想像现实中的物流业和心目中的物流业有什么不同之处,就我还没有学到这门知识之前,我只知道它是记运输、仓储、搬运、包装、流通加工、配送等基本功能实施的有机结合,其实在实际过程中就没有想象的那么简单了,而通过这几天的实习,我对它的简单了解,让我对于物流企业运营管理有了各家深刻的了解和认识。突破与书本上固定的理论知识充分认识到实际操作中的繁琐步骤,一个企业的运营所包含的诸多部门和所发挥的职能作用之间相互紧密联系着。 作为一个大三的管理专业的学生,在马上面临着就业竞争与学习中我们就应该多多的学习,再把自己学习的东西服务于社会,让自己的价值能够很好的展现,本次模拟让我打开了眼界,认识到物流并不是一个简单的词汇,而是一种行业。通过这次实习我掌握了不少有关物流方面的专业的东西。以前学过的课本上的知识,只是对物流一个表面的了解,一个理论的接触,而且比较单一的,运输、仓储、分拣、包装等物流步骤的联系都不是很大。而在这次物流操作模拟中把这些步骤都进行了融合和连贯。将书上的理论与实际相结合,在实践中提高了我们运用知识的能力。这次实验的实践有一周,还不够自己去思考,却是对课本知识的升华,是从感性认识上升到理性认识的过程。汲取本次实训获得的宝贵经验,不断丰富和完善自己的理论知识的同时,更多参与实践,为以后的人生打下坚实的基础! 《会计管理模拟实验》课程报告排版要求 一级标题:黑体,四号。 内容:宋体,五号。 行间距 :选择多倍行距, 设值1.2。段间无须空行。 学生应在课程结束前上交冯老师处,包括①课程报告封面;②课程报告,由指导教师完成最终成绩评定。 后附课程报告封面。(自行下载打印) 《会计管理模拟实验》 课程报告 学号 姓名 班级 晟光科技股份有限公司会计工作实习报告 一、实习目的会计是对会计单位的经济业务交易或事项两个方面进行计量、记录、计算、分析、检查、预测、参与决策、实行监督,旨在提高经济效益的一种核算手段,它本身也是经济管理活动的重要组成部分。会计专业作为应用性很强的一门学科、一项重要的经济管理工作,是加强经济管理,提高经济效益的重要手段,经济管理离不开会计,经济越发展会计工作就显得越重要。 二、实习内容及过程 会计专业作为应用性很强的一门学科、一项重要的经济管理工作,是加强经济管理,提高经济效益的重要手段。 在实习期间,我主要学习一些会计日常业务处理、银行及税务相关工作处理。公司财务部主要有材料会计、成本会计、销售会计、总账会计、税务会计以及出纳。在日常会计业务处理中,公司主要利用用友财务软件进行会计处理,同时也借助单位网上银行进行收付款业务查询及处理,在税务处理工作方面,只要利用税务局相关软件进行纳税管理,如登录网上办税大厅等税务软件进行纳税申报以及税收抵扣等业务。 在处理日常经济业务方面,主要利用用友财务软件,针对实际发生经济业务性质进行会计处理,编制会计凭证,进行审核记账等。公司日常的经济业务通常不是采购就是销售,在进行采购业务处理时,需进行编制采购入库单,并核对采购数量、金额及税额;销售业务方面,主要是对于应收账款的核对,根据实际发生以及相应回款进行收款或转账凭证的编制并审核记账;在采购与销售方面的实习工作当中,在制单处理方面要特别细心,要注意核对供应商以及客户往来,以免在进行项目核算时发生串户。除了采购和销售业务,日常经济业务还包括通过单位网上银行进行付款业务,并在受到银行回单后,利用用友财务软件进行应付账款核算。日常会计业务是会计工作的基础,对于每一笔经济业务,每一个步骤,每一个程序,都必须以会计制度为基础,尊重原始凭证,考究其真实性和准确性,才能更好地发挥利用财务软件的强大功能,提高我们的工作效率。 公司的另一个重要会计核算项目就是进行成本及费用的核算。工业企业产品生产成本的构成,主要包括生产过程中实际消耗的直接材料、直接工资、其他直接支出和制造费用。成本核算主要包括生产费用核算和生产成本核算,生产费用核算,是根据经过审核的各项原始凭证汇集生产费用,进行生产费用的总分类核算和明细分类核算。然后,将汇集在有关费用账户中的费用再进行分配,分别分配给各成本核算对象。生产成本的计算,是将通过生产费用核算分配到各成本计算对象上的费用进行整理,按成本项目归集并在此基础上进行产品成本计算。如本期投产的产品本期全部完工,则所归集的费用总数即为完工产品成本。如果期末有尚未完工的在产品,则需采用适当方法将按成本项目归集起来的各项费用在完工产品和在产品间进行分配,计算出完工产品的成本。在实习过程中,对于进行成本核算的原始凭证主要是收料单、领料单、产成品交库单,月末盘点表等。同时。需要注意的是,在进行费用归集的时候,必须要有相应发票才能进行计入费用。 税务处理是公司财务处理的重要部分。税务会计主要公司税务的申报(包括增值税、所得税、房产税、车船使用税、印花税等)以及办理公司税务的缴纳、查对、复核,办理税务登记及变更事项,编制相关税务报表以及相关分析报告,申请开具红字发票,办理相关免税业务等。在实习过程中,我们主要利用网上办税大厅进行申报以及增值税认证抵扣,利用 ABC3000进行税务报表的填制,并对于本期应交及未交税金进行查对和复核。特别引起我们注意的是,2009年起,凡企业购进固定资产并取得增值税专用发票均可进行增值税抵扣。企业所得税按应纳税所得额15%征收。 月底是公司出具财务报表的时候。总账会计即主管会计,主要负责公司主要财务报表的出具。在实习期间,我主要学习了资产负债表、现金流量表、损益表、利润表、所有者权益变动表以及各种附表,并对财务报表进行分析,计算出本期应纳税所得额并进行纳税申报。通过编制财务报表还能对公司的资产负债率以及销售利率等财务指标进行分析。财务报表需一式三份,上交给国税及地税各一份,本公司自己留底一份。 公司财务部还设有出纳及增值税发票开具处,出纳主要管理日常现金收付业务,编制现金及银行存款日记账等,开具收款收据以及票据的办理,专用收款收据需到税务局领购,且每次只能购买一本。通过出纳岗位的实习,我还了解到真实的现金支票、转账支票的开具以及银行承兑汇票的办理。在增值税专用发票的开具中,必须核对单位的每一项税务信息,包括税号、名称、电话、地址等,在开具过程中,必须真实准确,如发生错误的增值税发票,需向税务局提出申请,开具红字发票等。 三、实习总结 实习是每一个大学毕业生必须拥有的一段经历,它使我们在实践中了解社会、在实践中巩固知识。通过此次实习,将学校所学的会计理论知识与实际相结合起来,不仅让我们对整个会计核算流程有了详细而具体的认识,熟悉了会计核算的具体工作对象,也缩短了抽象的课本知识与实际工作的距离。在实习期间,主要利用真实的会计凭证及财务账表对一定期间的经济业务进行会计核算,对于税务、银行与企业之间的重要关系有了进一步的认识,同时也更加熟悉了用友财务软件在实际的会计工作中的各种操作,体会到了会计电算化为会计工作带来的便捷。 实习是每个即将毕业的大学生的重要经历,通过实习,不仅培养了我的实际动手能力,也增加了我的实际操作经验,对实际的财务工作也有了新的认识。实习让我学到了很多在课堂上学不到的知识,也让我更加看清自己的不足之处。通过这次会计实习,我对今后的学习、发展方向有了更进一步的认识:学习不仅仅学的是理论知识,更重要的是学习如何将理论知识应用于实践,学习将工作做到尽善尽美。第二篇:EDA报告模拟停车场管理系统的设计
第三篇:停车场收费管理系统
第四篇:物流管理模拟实验报告
第五篇:会计管理模拟实验报告