基于51单片机的数字频率计的设计概要

时间:2019-05-14 02:04:33下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《基于51单片机的数字频率计的设计概要》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《基于51单片机的数字频率计的设计概要》。

第一篇:基于51单片机的数字频率计的设计概要

武汉理工大学《单片机原理与应用》课程设计说明书 前言

频率测量是电子学测量中最为基本的测量之一。由于频率信号抗干扰性强,易于传输,因此可以获得较高的测量精度。随着数字电子技术的发展,频率测量成为一项越来越普遍的工作,测频原理和测频方法的研究正受到越来越多的关注。

1.1频率计概述

数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号、方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。传统的频率计采用测频法测量频率,通常由组合电路和时序电路等大量的硬件电路组成,产品不但体积大,运行速度慢而且测量低频信号不准确。本次采用单片机技术设计一种数字显示的频率计,测量准确度高,响应速度快,体积小等优点。

1.2频率计发展与应用

在我国,单片机已不是一个陌生的名词,它的出现是近代计算机技术的里程碑事件。单片机作为最为典型的嵌入式系统,它的成功应用推动了嵌入式系统的发展。单片机已成为电子系统的中最普遍的应用。单片机作为微型计算机的一个重要分支,其应用范围很广,发展也很快,它已成为在现代电子技术、计算机应用、网络、通信、自动控制与计量测试、数据采集与信号处理等技术中日益普及的一项新兴技术,应用范围十分广泛。其中以AT89S52为内核的单片机系列目前在世界上生产量最大,派生产品最多,基本可以满足大多数用户的需要。

武汉理工大学《单片机原理与应用》课程设计说明书 系统总体设计

2.1测频的原理

测频的原理归结成一句话,就是“在单位时间内对被测信号进行计数”。被测信号,通过输入通道的放大器放大后,进入整形器加以整形变为矩形波,并送入主门的输入端。由晶体振荡器产生的基频,按十进制分频得出的分频脉冲,经过基选通门去触发主控电路,再通过主控电路以适当的编码逻辑便得到相应的控制指令,用以控制主门电路选通被测信号所产生的矩形波,至十进制计数电路进行直接计数和显示。若在一定的时间间隔T内累计周期性的重复变化次数N,则频率的表达式为式:

Nfx=

TN频率计数器严格地按照f=公式进行测频。由于数字测量的离散性,被测频率在计数

T器中所记进的脉冲数可有正一个或负一个脉冲的1量化误差,在不计其他误差影响的情况下,测量精度将为:

(fA)1N

应当指出,测量频率时所产生的误差是由N和T俩个参数所决定的,一方面是单位时间内计数脉冲个数越多时,精度越高,另一方面T越稳定时,精度越高。为了增加单位时间内计数脉冲的个数,一方面可在输入端将被测信号倍频,另一方面可增加T来满足,为了增加T的稳定度,只需提高晶体振荡器的稳定度和分频电路的可靠性就能达到。

上述表明,在频率测量时,被测信号频率越高,测量精度越高。

2.2总体思路

频率计是我们经常会用到的实验仪器之一,频率的测量实际上就是在单位时间内对信号进行计数,计数值就是信号频率。本文介绍了一种基于单片机AT89S52 制作的频率计的设计方法,所制作的频率计测量比较高的频率采用外部十分频,测量较低频率值时采用单片机直接计数,不进行外部分频。该频率计实现10HZ~2MHZ的频率测量,而且可以实现量程自动切换功能,四位共阳极动态显示测量结果,可以测量正弦波、三角波及方波等各种波形的频率值。

2.3具体模块

根据上述系统分析,频率计系统设计共包括五大模块:单片机控制模块、电源模块、放大整形模块、分频模块及显示模块。各模块作用如下:

1、单片机控制模块:以AT89C51单片机为控制核心,来完成它待测信号的计数,译

武汉理工大学《单片机原理与应用》课程设计说明书

码,和显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。

2、电源模块:为整个系统提供合适又稳定的电源,主要为单片机、信号调理电路以及分频电路提供电源,电压要求稳定、噪声小及性价高的电源。

3、放大整形模块:放大电路是对待测信号的放大,降低对待测信号幅度的要求。整形电路是对一些不是方波的待测信号转化成方波信号,便于测量。

4、分频模块:考虑单片机外部计数,使用12 MHz时钟时,最大计数速率为500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。

5、显示模块:显示电路采用四位共阳极数码管动态显示,为了加大数码管的亮度,使用4个PNP三极管进行驱动,便于观测。

综合以上频率计系统设计有单片机控制模块、电源模块、放大整形模块、分频模块及显示模块等组成,频率计的总体设计框图如图2所示。

信号放大整形分频电路微控制器AT89S52数码管显示驱动电路5V电源

图2.1 频率计总体设计框图

武汉理工大学《单片机原理与应用》课程设计说明书 系统硬件设计

3.1 AT89C51主控制器模块

3.1.1 AT89C51的介绍

AT89C51是一种带4K字节FLASH存储器的低电压、高性能CMOS 8位微处理器,俗称单片机。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器。AT89C51单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

AT89C51引脚如下图所示。

图3.1 AT89C51引脚图

3.1.2 复位电路

复位电路是维持单片机最小系统运行的基本模块。复位电路如下图所示。

武汉理工大学《单片机原理与应用》课程设计说明书

图3.2 复位电路

高频率的时钟有利于程序更快的运行,也有可以实现更高的信号采样率,从而实现更多的功能。但是告诉对系统要求较高,而且功耗大,运行环境苛刻。考虑到单片机本身用在控制,并非高速信号采样处理,所以选取合适的频率即可。合适频率的晶振对于选频信号强度准确度都有好处,本次设计单片机实物具有11.0592M的晶振频率。AT89C51单片机最小系统如下图所示。

图3.3 单片机最小系统原理图

3.2 分频设计模块

分频电路用于扩展单片机频率测量范围,并实现单片机频率和周期测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。

本频率计的设计以AT89C51单片机为核心,利用他内部的定时/计数器完成待测信号

武汉理工大学《单片机原理与应用》课程设计说明书

周期/频率的测量。单片机AT89C51内部具有2个16位定时计数器,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。在定时器工作方式下,在被测时间间隔内,每来一个机器周期,计数器自动加1(使用12 MHz时钟时,每1μs加1),这样以机器周期为基准可以用来测量时间间隔。在计数器工作方式下,加至外部引脚的待测信号发生从1到0的跳变时计数器加1,这样在计数闸门的控制下可以用来测量待测信号的频率。外部输入在每个机器周期被采样一次,这样检测一次从1到0的跳变至少需要2个机器周期(24个振荡周期),所以最大计数速率为时钟频率的1/24(使用12 MHz时钟时,最大计数速率为500 kHz),因此采用74LS161进行外部十分频使测频范围达到2MHz。为了测量提高精度,当被测信号频率值较低时,直接使用单片机计数器计数测得频率值;当被测信号频率值较高时采用外部十分频后再计数测得频率值。这两种情况使用74LS151进行通道选择,由单片机先简单测得被测信号是高频信号还是低频信号,然后根据信号频率值的高低进行通道的相应导通,继而测得相应频率值。

3.3 显示模块

显示模块由频率值显示电路和量程转换指示电路组成。频率值显示电路采用四位共阳极数码管动态显示频率计被测数值,使用三极管8550进行驱动,使数码管亮度变亮,便于观察测量。量程转换指示电路由红、黄、绿三个LED分别指示Hz、KHz及MHz档,使读数简单可观。

3.3.1 数码管介绍

常见的数码管由七个条状和一个点状发光二极管管芯制成,叫七段数码管,根据其结构的不同,可分为共阳极数码管和共阴极数码管两种。根据管脚资料,可以判断使用的是何种接口类型。

LED数码管中各段发光二极管的伏安特性和普通二极管类似,只是正向压降较大,正向电阻也较大。在一定范围内,其正向电流与发光亮度成正比。由于常规的数码管起辉电流只有1~2 mA,最大极限电流也只有10~30 mA,所以它的输入端在5 V电源或高于TTL高电平(3.5 V)的电路信号相接时,一定要串加限流电阻,以免损坏器件。

3.3.2 频率值显示电路

数码管电路设计不加三极管驱动时,数码管显示数值看不清,不便于频率值的测量与调试。因此加入三极管8550进行驱动数码管。使用4位数码管进行频率值显示,如果选择共阴极数码管显示,则需要8个三极管进行驱动,而采用共阳极数码管则需要4个三极

武汉理工大学《单片机原理与应用》课程设计说明书

管驱动,为了节约成本,因此选用共阳极数码管进行动态显示,具体数码管设计电路如图所示。

图3.4 数码管显示电路

3.3.3 档位转换指示电路

根据设计要求,采用红、黄、绿三个LED分别指示Hz、KHz及MHz档,根据被测信号的频率值大小,可以自动切换量程单位,无需手动切换,便于测量和读数,简单方便。具体设计的档位转换LED指示电路如图所示。

图3.5 LED档位指示电路

武汉理工大学《单片机原理与应用》课程设计说明书 系统软件设计

系统软件设计主要采用模块化设计,叙述了各个模块的程序流程图,并介绍了软件Keil和Proteus的使用方法和调试仿真。

4.1 软件模块设计

系统软件设计采用模块化设计方法。整个系统由初始化模块,信号频率测量模块,自动量程转换和显示模块等模块组成。系统软件流程如图所示。

频率计开始工作或者完成一次频率测量,系统软件都进行测量初始化。测量初始化模块设置堆栈指针(SP)、工作寄存器、中断控制和定时/计数器的工作方式。定时/计数器的工作首先被设置为计数器方式,即用来测量信号频率。

开始系统初始化频率测量频率是否超过1KHzY硬件十分频N计数器计数测频率值测量数据显示

图4.1 系统软件流程总图

首先定时/计数器的计数寄存器清0,运行控制位TR置1,启动对待测信号的计数。计数闸门由软件延时程序实现,从计数闸门的最小值(即测量频率的高量程)开始测量,计数闸门结束时TR清0,停止计数。计数寄存器中的数值经过数制转换程序从十六进制数转换为十进制数。判断该数的最高位,若该位不为0,满足测量数据有效位数的要求,测量值和量程信息一起送到显示模块;若该位为0,将计数闸门的宽度扩大10倍,重新对待测信号的计数,直到满足测量数据有效位数的要求。定时/计数器的工作被设置为定时器方式,定时/计数器的计数寄存器清0,在判断待测信号的上跳沿到来后,运行控制位TR

武汉理工大学《单片机原理与应用》课程设计说明书

置为1,以单片机工作周期为单位进行计数,直至信号的下跳沿到来,运行控制位TR清0,停止计数。16位定时/计数器的最高计数值为65535,当待测信号的频率较低时,定时/计数器可以对被测信号直接计数,当被测信号的频率较高时,先由硬件十分频后再有定时/计数器对被测信号计数,加大测量的精度和范围。

4.2 应用软件简介

此设计需要在Keil软件平台上完成程序的调试,在Proteus软件平台上完成仿真显示。因此介绍如何使用Keil和Proteus进行软件的仿真。

4.2.1 Keil简介

Keil软件是目前最流行开发系列单片机的软件,Keil提供了包括C编译器、宏汇编、连接器、库管理和一个功能强大的仿真调试器等在内的完整开发方案,通过一个集成开发环境(uVision)将这些部份组合在一起。而Proteus与其它单片机仿真软件不同的是,它不仅能仿真单片机CPU的工作情况,也能仿真单片机外围电路或没有单片机参与的其它电路的工作情况。因此在仿真和程序调试时,关心的不再是某些语句执行时单片机寄存器和存储器内容的改变,而是从工程的角度直接看程序运行和电路工作的过程和结果。对于这样的仿真实验,从某种意义上讲,是弥补了实验和工程应用间脱节的矛盾和现象[16]。

4.2.2 protues简介

protues是Labcenter公司出品的电路分析、实物仿真系统,而KEIL是目前世界上最好的51单片机汇编和C语言的集成开发环境。他支持汇编和C的混合编程,同时具备强大的软件仿真和硬件仿真功能[17]。Protues能够很方便的和KEIL、Matlab IDE等编译模拟软件结合。Proteus提供了大量的元件库有RAM,ROM,键盘,马达,LED,LCD,AD/DA,部分SPI器件,部分IIC器件,它可以仿真单片机和周边设备,可以仿真51系列、AVR,PIC等常用的MCU,与keil和MPLAB不同的是它还提供了周边设备的仿真,只要给出电路图就可以仿真。

武汉理工大学《单片机原理与应用》课程设计说明书 系统仿真

5.1 系统总电路图

根据课程设计任务书的要求,本次课设设计的系统总电路图如下图所示。

图5.1 系统总电路图

5.2 系统仿真结果

系统仿真结果图如下图所示,由图中可以看出,LCD显示的值为900Hz,LED显示的值为886Hz,在误差允许的范围内,二者近似相等,符合课设任务书要求。

图5.2 系统仿真结果图

武汉理工大学《单片机原理与应用》课程设计说明书 系统硬件调试

6.1频率计的测试 如图6.1为频率计的测试实物拍摄图。其中函数信号发生器输出频率为1000Hz、幅值为5V的方波信号时,数字频率计测得的频率为996Hz,在误差允许的范围内,二者相等,符合课设任务书要求。

图6.1 频率计测试的实物拍摄图

6.2 低频方波信号发生器的测试

图6.2 低频信号发生器测试的实物拍摄图

武汉理工大学《单片机原理与应用》课程设计说明书

如图6.2为低频信号发生器测试的实物拍摄图。其中低频方波信号发生器输出频率的LED显示值为400Hz,经过示波器检测得到幅值为4.88V,频率为396.2Hz,在误差允许的范围内,二者相等,符合课设任务书要求。

6.3 低频方波信号发生器、数字频率计的综合测试

如图6.3为低频方波信号发生器检测频率计的实物拍摄图。其中低频方波信号发生器输出频率的LED显示值为300Hz,经过数字频率计检测得到频率的LCD显示值为297Hz,在误差允许的范围内,二者相等,符合课设任务书要求。

图6.3 低频方波信号发生器检测频率计的实物拍摄图

武汉理工大学《单片机原理与应用》课程设计说明书 心得体会

本次设计的过程和结果都给了我很多感触。初次拿到课程设计的题目时,只是对频率有一定的理解,至于怎么设计,几乎没有什么想法。在同学的指导和讲解下,对频率计的介绍有了一定的了解。后来通过不断的学习和查阅资料,终于清楚的知道了频率计的基本情况和设计的方案有了一定的理解。通过对各种性能的比较和所学知识能实现的状况,对本次课程设计进行了设计,最后进行的是课设报告的撰写。

通过本次设计,让我学会了从系统的高度来考虑设计的方方面面,对电路的设计和研究有了更深刻的体会;让我了解到软件的设计是建立在对硬件了解的基础上的,特别是对单片机的功能,引脚定义和内部结构要有较为详细的了解,此外对电路板中所用到的各个芯片的引脚和功能,也要进行了解;在编写程序时,进行模块化设计,以严谨的态度进行编程,避免出现低级错误,养成为程序添加注释和说明的好习惯,以便自己的修改和阅读者轻松的了解程序的各部分及整体的功能。

武汉理工大学《单片机原理与应用》课程设计说明书

参考文献

[1]李华.单片机实用接口技术[M].航空航天大学出版社.2006.[2]张鹏.王雪梅.单片机原理与应用实例教程[M].海军出版社.2007.[3]赫建国等.单片机在电子电路设计中的应用[M].清华大学出版社.2005.[4]康华光.电子技术基础(模拟部分)[M].高等教育出版社.1998. [5]吴清平.单片机原理与应用实例教程[M].海军出版社.2008.武汉理工大学《单片机原理与应用》课程设计说明书

#include #define uint unsigned int #define uchar unsigned char signed long count=0;int i=0, x=0;sbit RS=P1^0;sbit RW=P1^1;sbit E=P2^5;sbit dula=P2^6;sbit wela=P2^7;unsigned int shu[]={0x30,0x31,0x32,0x33,0x34,0X35,0x36,0x37,0x38,0x39};//数字的ASCII码放在数组中

uchar code table[]=“made by Li Houmin”;uchar num;

void delay(int count){ int p;

//延时

while(count--)for(p=0;p<110;p++);}

void write_com(unsigned int n){

RS=0;P0=n;delay(5);

//写指令

E=1;delay(5);

武汉理工大学《单片机原理与应用》课程设计说明书

E=0;}

void write_data(unsigned char t){ RS=1;P0=t;delay(5);

//写数据

E=1;delay(5);E=0;}

void time1_int(void)interrupt 3 { TH1=TL1=0;TR1=1;x++;}

void time0_int(void)interrupt 1 { TH0=(65535-50000)/256;//装初值,定时50ms TL0=(65535-50000)%256;i++;

if(i==20){ i=0;//1s时间已到

武汉理工大学《单片机原理与应用》课程设计说明书

TR1=0;//关闭计数器1 count=65536*x+256*TH1+TL1;x=0;//重新装初值 //重新启动计数器器1 TH1=TL1=0;TR1=1;} }

void show(){

write_com(0x85);write_data(shu[count/100000]);delay(5);

//在第一行第五列显示十万位

write_com(0x86);write_data(shu[(count/10000)%10]);delay(5);write_com(0x87);write_data(shu[(count/1000)%10]);delay(5);write_com(0x88);write_data(shu[(count/100)%10]);delay(5);write_com(0x89);write_data(shu[(count/10)%10]);delay(5);write_com(0x8a);write_data(shu[count%10]);delay(5);

//显示万位 //显示千位 //显示百位

//显示十位

//显示个位

write_com(0x83);write_data(0x66);delay(5);//显示频率表示的字符f write_com(0x84);write_data(0x3d);delay(5);//显示字符= write_com(0x8b);write_data(0x48);delay(5);//显示字母H write_com(0x8c);write_data(0x7a);delay(5);//显示字母z write_com(0x80+0x40);

}

void main()

//第二行显示

for(num=0;num<17;num++){ write_data(table[num]);delay(5);}

武汉理工大学《单片机原理与应用》课程设计说明书

{ TMOD=0x51;

//T1计数、T2定时,且都工作在方式1 TH1=0x00;TL1=0x00;TH0=(65535-50000)/256;//装初值,定时50ms TL0=(65535-50000)%256;EA=1;

ET0=1;ET1=1;

TR0=1;TR1=1;

dula=0;wela=0;RW=0;E=0;write_com(0x01);write_com(0x38);write_com(0x0c);write_com(0x06);while(1){ show();

delay(5);} }

//开总中断

//中断允许

//启动定时器

//显示清零,数据指针清零

//设置16X2显示5X7点阵,8位数据口

//设置开显示,显示光标且闪烁

//写一个字符后地址指针加1

第二篇:简单单片机数字频率计设计

课程设计报告

简易频率计的设计

电子科学XX学院

姓名:专业:通信工程学号:2013

2015年12月

摘要 本系统基于单片机来实现核心的频率计数功能,并能够实现测量中的量程自动切换。实现了对1Hz~1MHz的正弦波、方波以及脉宽不小于100s的脉冲信号频率测量,以及系统的自校功能。考虑到不同的测量方法对于不同频率测量带来的误差,对于频率为1Hz~1KHz的信号使用测频法,对于频率1KHz~1MHz的信号采用测周法,用单片机实现功能自动转换。放大整形电路使用了基本的晶体管放大模块,结合施密特触发器74HC132,使输出信号为TTL电平信号。此外本频率计实现了刷新时间1~10S连续可调,步进值为1S,最终单片机在7段数码管上显示测量的频率值。系统设计达到了各项目标要求。

关键词:频率测量;等精度测量;放大整形电路

目录

一、引言...................................................................................3

二、系统方案...........................................................................4

1.方案选择...........................................................................4 2.方案确定...........................................................................6

三、理论分析与设计...............................................................6

四、电路与程序设计...............................................................8

五、设计总结..............................................................................9

六、参考文献...........................................错误!未定义书签。

一、引言 频率的测量在电子测量技术中是一项重要的内容。数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,其基本功能是测量正弦波、方波、脉冲等周期信号的频率并将其显示出来,用途十分广泛。频率计的设计需要结合模拟电路、数字电路来综合搭建一个简单的电子系统,要求功能完全、测量准确。对于方案的选择、实际电路的调试提出了一定挑战。

二、系统方案

频率的测量方法可分为模拟测量法和数字测量法。

模拟测量法利用谐振特性进行测量,与标准频率信号比较形成的李萨如图形进行测量。但是其系统较为复杂,且谐振电路较为复杂,精度难以保证。

现在的频率测量方法都是基于数字测量,具有较高的精度。基本的频率测量方法有测频法和测周法。

1.方案选择

1)测频法

频率的定义为单位时间内周期信号发生的次数。依据这一定义,要测量频率,即可以通过对一段时间Ts内信号发生的次数N计数得到。测量时间基准可以用单片机来产生,计数也可以通过单片机的运算功能实现。测频法的原理电路如图1所示。被测信号经整形变换得到周期为Tx的被测脉冲串,电平转换为TTL电平,送入单片机中。利用标准时钟信号分频得到的时间基准信号控制门控电路,在闸门开启的有效时间Ts内允许被测脉冲串通过闸门电路进行计数,则被测信号频率fx可以表示为:

fxN/Ts

分析考虑误差公式:

fxNfs fxNfs4 UsOTxtUaOTt

图1测频法原理图

不考虑单片机产生的时间基准的精度对频率测量的影响。根据这一测量原理,闸门信号开启和关闭这一时间段Ts内N的计数可能会带来误差N,其最大值为1。当误差减小时,闸门时间即基准频率自身的准确度对测量结果的影响是不可忽略的。考虑频率较低的情形(如1Hz时),某一闸门开启的时间段内经变换后与信号等频率的脉冲串没有经过闸门,则计数值少1。若要减少N/N带来误差,就必须增大N,在被测信号频率较低的情况下,则要求闸门开放时间很长(即在fs不变的条件下,要求分频系数大)。若被测频率很低,为达到一定的测量精度,就要求闸门开放时间大得难以忍受,即一次测量过程的时间很长,失去了使用意义。

例如若被测频率为10Hz,精度要求为0.01%,则最短闸门开放时间为

NNfx/f1/0.000110000

TsN/fx1000s

像这样的测量周期是根本不可能接受的,可见频率测量法不适用于低频信号的测量,在同样精度下10MHz的测量仅需要1ms,即对于高频信号适宜用此方法测量。

2)测周法

测周法的基本结构与测频法是相同的,只是把晶体震荡产生的基准信号与被测信号的位置互换了一下。由此得

TN/MfNT/M

计数值N与被测信号的周期成正比,N反映了M个信号周期的平均值。利用周期测量的方法在一定信号频率范围内,通过调节分频系数M,可以较好地解决测量精度与实时性的矛盾。由上式可得 T/TN/NT/TN/TMfT/T

第二项误差取决于晶体稳定度,第一项为计数器的量化误差,故该项主要取决于N的大小。在平均周期测量法中,N值得大小与测量时间的长短成正比,可根据测量精度要求而定。假定N/N的允许误差为0.001%,则N105,在T选定测量时间为105T,若T2s,则测量时间为0.2s。对于不同范围的被测周期信号,可以通过调节分频系数M的大小,达到相近的测量精度,也就是有相近的测量时间,且不会太长。

当然,对于高频信号,周期法就需要很大分频系数M,增加了硬件及软件的复杂性,不宜采用。

2.方案确定

以上两种种方法中,测频法和测周法分别表现出在低频和高频情况下的明显不足。因此我们考虑将两种方法相结合,利用分段法分别进行测频和测周。这就要求我们找到一个合适的临界频率fM,使得fxfM时用测周法测量,fxfM用测频法测量。这里我们选定fM1KHz。

图2系统总体设计框图

三、理论分析与设计

根据题目要求,我们将简易频率要完成的设计目标分成以下几个功能模块:

1)输入信号的放大整形; 2)单片机对信号频率的测量; 3)单片机的自校功能; 4)测量方式的设定功能。下面分别对这些功能模块进行理论分析:

1)输入信号的放大整形: 要求我们对输入信号类型为正弦波、方波、脉冲波三种信号(幅度变化范 围:0.5V~5V,频率变化范围:1Hz~1MHz,脉冲信号的脉冲宽度:大

于100μs)进行放大整形,得到与原信号同频率的方波信号或脉冲信号。同时进行TTL电平转换,以备下一步输入单片机的I/O口进行频率的测量。常用的放大整形模块有多种。这里我们的输入信号其幅度已经较大了,所以不用考虑对微小信号的放大,一般的放大电路足以满足要求。另外输入信号的频率变化范围也不是很高,现在常用的放大元件(集成运放,三极管等)都能达到要求。

2)单片机对信号频率的测量;

由之前的分析,不论是测频法还是测周法,单片机核心的功能就是一个计数器的功能,在闸门时间内对所测信号上升沿进行计数,经过计算,得到频率测量值,同时进行输出显示。这一过程用软件语言来编写,思路上是比较容易的。51单片机提供的两个定时器计数器T0,T1即可完成设计。具体来说,可以用用定时器T1产生时间基准信号,用计数器T0作为被测信号的计数器。由于被测信号已经被整形为符合TTL电平要求的脉冲,所以我们可以用中断处理的方法,让T0工作在下边沿中断计数的模式下。用T1来产生25ms的定时,用软件计数的方法实现闸门信号的产生。

显示键盘单片机输入信号中断口T0计数T1定时计算频率 图3单片机工作框图

3)单片机的自校功能

自校是在时基单元提供的闸门时间内,对时标信号(频率较高的标准频率信号)进行计数的一种功能,用以检查频率计的整机逻辑功能是否正常。由于这时的闸门信号和时标信号由同一个晶振的标准信号经过分频得到,这时的计数结果是已知的。通过测量频率,如果显示出来的频率和这一时标信号的频率是一致的,那么说明整机的功能达到了要求,可以工作。

另外,在自校状态下,由于闸门信号与时标信号是同一晶振产生的,同步关系始终成立,所以频率计不存在量化误差(1误差)。

题目要求单片机用1MHz进行自校,但是这里由于我们采用了两个频率段的测量,也就是采用了两个闸门时间,因此要用两个时标信号来进行自校。实现的原理如图X。

自校功能选定产生时标信号测量频率频率与时标信号相等否显示自校结果为ERROR是显示自校结果为OK结束 图4自校功能原理框图

3)测量方式的设定功能

系统设计的要求之一是要满足显示方式为十进制数字显示,显示刷新时 间1~10秒连续可调。也就是说单片机输出显示的信号要满足显示功能可 以设定,刷新时间可以调控。这里我们在频率计系统设计中加入一个测量 方式的控制按键,按键后用键盘设置刷新时间值。这样的功能用单片机程 序来编写是比较容易实现的,在此不做过多讨论。

四、电路与程序设计

(1)放大整形电路设计

VDDXFG15V1.0uF0R42k¦¸2C20VDD5VVDDR120k¦¸C1624VDDXSC1Ext Trig+_A+_+B_03718U3U141AVCC1B4A1Y4B2A4Y2B3A2Y3BGND3Y11.0uFVEEVEER620k¦¸OP37AH3-5VR220k¦¸0074LS00D5 图5放大整形电路图 由于输入信号最低幅值为0.5V,所以要对其先进行放大,再整形。频率计的对输入阻抗的要求比较高。因此第一步信号输入要求输入阻抗很大以降低对信号源内阻的要求。故采用OP37运算放大器构成反向输入比例放大电路对信号进行放大。

后级采通过74LS00与非门对输入电平取非,进行放大信号的整形,得到一个可符合单片机测频要求电平的矩形波。(2)单片机程序流程设计

初始化功能设定测量频率自检测周法测量显示自检结果测定频率范围在1Hz~1KHz否测频法测量是显示输出 图6单片机流程图

五、设计结果

经过方案的不断调整,我们最终按照设计方案进行了硬件电路的焊接、单片机程序的编写,分别对放大整形电路的性能、单片机测频率的功能实现进行了调试,两部分均能满足题目要求之后,进行了系统联调。

在单片机功能实现的调试过程中,我们用实验室的DDS信号源产生满足TTL电平要求的方波,输入进单片机的P3.4口进行程序部分的验证。调整方波的幅值和频率,可以看出,从0.5V到1V,1Hz到1MHz,单片机均可以实现频率测量,测量精度在高频区略有下降,但能达到百分之一以内的精度,我们认为是可以接受的。

刷新时间可调和自校这一功能由于我们时间上不太充足,虽然有所尝试但最 终没有实现。我们对刷新时间的理解就是改变的是闸门信号。因为程序默认是定1S的闸门宽度,而刷新时间可调就可以使得闸门宽度变宽,使得低频测量不会产生正负1的误差。我们的思路是把定时器T1软件计数的值设为可以由按键控制的变量,通过按键改变这一变量,使得闸门宽度得到改变。

在对放大整形电路的测试过程中,我们发现在1MHz的情况下,OP37运算放大器由于增益带宽积不足,电压放大倍数锐减为3——4倍左右,当输入电压为0.5V放大倍数时不能越过四与非74LS00芯片的判定阈值,无法实现整形的效果。于是,我们组根据关永峰老师的建议,采用对直流电源分压的方式抬高电平,如图端点4处,将此处的电压抬升了2.5V左右,达到74LS00的阈值(约3.2V),从而实现了对信号的整形的作用。

我们组采用了比较易于获取的器件,受限于器件的参数,我们采用了电容的滤波、隔直来保证波形的稳定,尽量减少噪声的影响。图中电容C1起隔直作用,以滤除信号中不稳定的直流分量,也便于下一步设定抬升电压的大小。电容C2滤除电源噪声。

最终验收测试的数据如下: 0.5——5V的输入下,在正弦波输入的条件下,测频范围80Hz——1.1MHz。在方波输入的条件下,测频范围1Hz——1.5MHz。

系统在正弦波作为输入信号时的低频部分(1~80Hz)无法实现准确测频,测出频率均在1kHz以上。用示波器观察放大整形信号可以看出,在低频部分的输出信号含有较多的噪声,输出的矩形波前出现一撮高频、高幅度的噪声,该段噪声无法通过电容滤波滤除,当频率提高时,该段噪声的幅度明显减小,我们组认为该噪声是器件内部噪声,是器件本身的局限性造成的,因此要提高频率计的性能就要改用性能更好的放大器和整形器件。

六、设计总结

在本次实验的过程中,我们通过简单常见器件的匹配和调整,基本实现了题目要求的参数,较好的完成了实验指标。实验过程中存在的不足是由于时间和个人能力的限制,未能在软件部分完成自校功能,硬件方面也没有尝试先前设计报告中性能更好的高速运算放大器、高速施密特触发器,采用了比较简单易的常用器件。在此次实验的过程中,我们组分工明确,一人负责总体设计、一人软件编程、一人硬件焊接,因此在实验中效率很高,很快完成了设计、调试和验收过程。在最开始的方案设计中中,我们借鉴了一个庞大的整形放大电路,但在实现的过程遇到了较大的困难,一方面是对电路理解的欠缺,一方面是调试上存在极大的困难。在实验的过程中,我们深刻体会到了设计要基于题目要求和指标,不能好高骛远,要基于自己的理解,广泛查阅资料,进而不断改进完善。在本次实验中,我们组观察到了硬件和软件的相互匹配关系,即从放大整形电路到单片机编程计数测算频率,最后在数码管上观察到输出结果,在软硬件的相互配合中实现功能,让我们体会了软硬件协调配合的过程和通过电路实现具体功能的过程,同时,在电路调试过程中也学会很多调试方法,收获了很多电路方面的经验。

七、参考文献

[1]刘菊荣库锡树主编《电子技术实验教程教程》,电子工业出版社,198~200.[2]黄虎,奚大顺主编《电子系统设计——专题篇》,电子工业出版社,32~33.

第三篇:单片机课程设计报告——智能数字频率计

单片机原理课程设计报告

题目:智能数字频率计设计

专业: 信息工程 班级:信息111 学号:*** 姓名:*** 指导教师:***

北京工商大学计算机与信息工程学院

1、设计目的

(1)了解和掌握一个完整的电子线路设计方法和概念;

(2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。

(3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用: 包括放大器、滤波器、比较器、计数和显示电路等。

(4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。

2、设计要求

(1)基本要求

设计指标:

1.频率测量:0~250KHz; 2.周期测量:4mS~10S; 3.闸门时间:0.1S,1S;

4.测量分辨率:5位/0.1S,6位/1S; 5.用图形液晶显示状态、单位等。

充分利用单片机软、硬件资源,在其控制和管理下,完成数据的采集、处理和显示等工作,实现频率、周期的等精度测量方案。在方案设计中,要充分估计各种误差的影响,以获得较高的测量精度。

(2)扩展要求

用语音装置来实现频率、周期报数。

(3)误差测试

调试无误后,可用数字示波器与其进行比对,记录测量结果,进行误差分析。

(4)实际完成的要求及效果

1.测量范围:0.1Hz~4MHz,周期、频率测量可调; 2.闸门时间:0.05s~10s可调; 3.测量分辨率:5位/0.01S,6位/0.1S; 4.用图形液晶显示状态、单位(Hz/KHz/MHz)等。

3、硬件电路设计

(1)总体设计思路 本次设计的智能数字频率计可测量矩形波、锯齿波、三角波、方波等信号的频率。系统共设计包括五大模块: 主芯片控制模块、整形模块、分频模块、档位选择模块、和显示模块。设计的总的思想是以AT89S52单片机为核心,将被测信号送到以LM324N为核心的过零比较器,被测信号转化为方波信号,然后方波经过由74LS161构成的分频模块进行分频,再由74LS153构成的四选一选择电路控制档位,各部分的控制信号以及频率的测量主要由单片机计数及控制,最终将测得的信号频率经LCD1602显示。

各模块作用如下: 1.主芯片控制模块: 单片机AT89S52 内部具有2个16位定时/计数器T0、T1,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。利用单片机的计数器和定时器的功能对被测信号进行计数。以AT89S52 单片机为控制核心,来完成对各种被测信号的精确计数、显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。

2.整形模块:整形电路是将一些不是方波的待测信号转化成方波信号,便于测量。本设计使用运放器LM324连接成过零比较器作为整形电路。

3.分频模块: 考虑单片机利用晶振计数,使用11.0592MHz 时钟时,最大计数速率将近500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。本设计使用的分频芯片是74LS161实现4分频及16分频。

4.档位选择模块:控制74LS161不分频、4分频 或者 16分频,控制芯片是74LS153。5.显示模块:编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示,本设计选用LCD1602。

(2)测频基本设计原理

所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T(右图3-1所示)。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率fx。利用单片机的定时/计数T0、T1的定时、计数功能产生周期为1s的时间脉冲信号,则门控电路的输出信号持 图3-1 续时间亦准确地等于1s。闸门电路由标准的秒脉冲信号进行控制,当秒脉冲信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒脉冲信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。

(2)系统框图

本智能数字频率计系统框图如图3-2所示

图3-2智能数字频率计系统框图

(3)单片机部分

P0口经上拉后做LCD数据接口 P2.1~P2.3作为LCD控制端口 P2.4~P2.5作为分频选择端口 P3.5作为被测信号输入端口

P3.2~P3.4作为开关控制端口(对应电路图中K1,K2,SET)

图3-3 89D52单片机部分电路

(4)分频部分

74HC161与74ls161功能兼容,是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。

其管脚图如图3-4所示:

图3-4 74HC161 图3-5 74HC153管脚图

74HC153是一个双4选1数据选择器,其管脚图如图3-5所示:

74LS161对整形后的防波信号进行分频,Q1为四分频输出,Q3为16分频输出。未经分频、经过四分频和经过16分频的三路信号作为74LS153的一个4选1数据选择器低三位输入,由单片机控制选择分频数,然后再送单片机内部计数器T1(如图3-6)。

图3-6 分频、选择分频档位电路图

(5)LCD显示部分

LCD显示,1602的八位数据I/O口与单片机的P0口相连,读写控制端接P2.0-P2.2口。三个按键中,设置键接P3.2单片机按外部中断0接口,当按键按下后,置P3.2口低电平,单片机中断。S1、S2为频率/周期、闸门时间加/减选择按键(如图3-7)。

图3-7 LCD显示部分电路图

4、软件设计

(1)主程序流程图设计

本次程序设计采用的是C语言程序设计,其设计流程图4-1所示:

图4-1主程序流程图

(2)子程序流程图设计

<1>显示程序:

LCD显示程序设计流程如图4-2所示:

图4-2显示程序流程图 <2>频率测量程序框图:

频率测量程序的整体架构如图4-3所示:

图4-3频率测量框架图

(3)中断服务流程图

INT0中断流程图如图4-4所示:

图4-4INT0中断流程图

(4)程序代码

#include #include #include #include float f;

//频率 float p;

//周期 float sj;

//闸门时间 char idata buff[20];char flag=0;

//频率、周期选择标志位

char xs=0;//设置闸门时间结束后是否显示结果的标志位

unsigned char m=0,n=0,yichu=0,fenpin;

//m定时中断次数 n计数中断次数 yichu判断是定时

//器还是计数器溢出

#define Key_Set P3 #define K1 0xf7

//11110111

P33 #define K2 0xef

//11101111

P34 #define NO_Set 0xff #define Freq 0 #define Peri 1

sbit B153=P2^4;sbit A153=P2^3;sbit P17=P3^4;sbit P16=P3^3;sbit P35=P3^5;sbit Set=P3^2;unsigned char LCD_Wait(void);void LCD_Write(bit style, unsigned char input);void LCD_SetDisplay(unsigned char DisplayMode);void LCD_SetInput(unsigned char InputMode);void LCD_Initial();void GotoXY(unsigned char x, unsigned char y);void Print(unsigned char *str);void C52_Initial();void Delay(unsigned int t);void display(float f);void cepin();void panduan();void timedisplay(float sj);void Time_Set1();void Time_Set2();void t0();void t1();

/*****模块名称 LCD1602显示程序******/

/***********************端口定义 ***********************************/

sbit LcdRs= P2^0;sbit LcdRw= P2^1;sbit LcdEn= P2^2;sfr DBPort= 0x80;

//P0=0x80,P1=0x90,P2=0xA0,P3=0xB0.数据端口 /************************内部等待函数********************************/

unsigned char LCD_Wait(void){

LcdRs=0;

//寄存器选择输入端 1:数据 0:指令

LcdRw=1;

_nop_();//RW:为0:写状态;为1:读状态;

LcdEn=1;

_nop_();

//使能输入端,读状态,高电平有效;写状态,下降沿有效

LcdEn=0;

return DBPort;

} /**********************向LCD写入命令或数据***************************/

#define LCD_COMMAND 0

// Command #define LCD_DATA 1

// Data #define LCD_CLEAR_SCREEN

0x01

// 清屏 #define LCD_HOMING

0x02

// 光标返回原点 void LCD_Write(bit style, unsigned char input){

LcdEn=0;

LcdRs=style;

LcdRw=0;

_nop_();

DBPort=input;

_nop_();//注意顺序

LcdEn=1;

_nop_();//注意顺序

LcdEn=0;

_nop_();

LCD_Wait();} /********************设置显示模式*********************************/

#define LCD_SHOW

0x04

//显示开 #define LCD_HIDE

0x00

//显示关

#define LCD_CURSOR

0x02

//显示光标

#define LCD_NO_CURSOR

0x00

//无光标

#define LCD_FLASH

0x01

//光标闪动 #define LCD_NO_FLASH

0x00

//光标不闪动 void LCD_SetDisplay(unsigned char DisplayMode){

LCD_Write(LCD_COMMAND, 0x08|DisplayMode);

} /*********************设置输入模式***********************************/ #define LCD_AC_UP 0x02 #define LCD_AC_DOWN 0x00

// default #define LCD_MOVE 0x01

// 画面可平移 #define LCD_NO_MOVE 0x00

//default void LCD_SetInput(unsigned char InputMode){

LCD_Write(LCD_COMMAND, 0x04|InputMode);} /******************初始化LCD**************************************/

void LCD_Initial(){

LcdEn=0;

LCD_Write(LCD_COMMAND,0x38);

//8位数据端口,2行显示,5*7点阵

LCD_Write(LCD_COMMAND,0x38);

LCD_SetDisplay(LCD_SHOW|LCD_NO_CURSOR);

//开启显示, 无光标

LCD_Write(LCD_COMMAND,LCD_CLEAR_SCREEN);

//清屏

LCD_SetInput(LCD_AC_UP|LCD_NO_MOVE);

//AC递增, 画面不动 }

/************************************************************************/ void GotoXY(unsigned char x, unsigned char y){ if(y==0)

LCD_Write(LCD_COMMAND,0x80|x);if(y==1)

LCD_Write(LCD_COMMAND,0x80|(x-0x40));} void Print(unsigned char *str){

while(*str!='')

{

LCD_Write(LCD_DATA,*str);

str++;

} }

/*************************************************************************** *

模块名称:

频率测量程序

* *

测量范围:0.1Hz~4MHz,闸门时间:0.05s~10s可调。

* ***************************************************************************/ /***************************89c52初始化************************************/ void C52_Initial(){ sj=1000000.00;Key_Set=0xff;TMOD=0x51;

// 01010001 T1为计数器,T0为定时器

EA=1;ET0=1;ET1=1;EX0=1;

PX0=1;

//外部中断0设置为高优先级

IT0=0;

//电平触发方式 } /**************************延时子程序**************************************/ void Delay(unsigned int t)

//t随着数值越大,误差趋于平衡.{

unsigned char i;

while(t--)

{

for(i=0;i<123;i++){;}

} } /*************************计数中*********************************/ void t1(void)interrupt 3

//计数器1溢出,yichu=1 { n++;yichu=1;TH1=0;TL1=0;} /***********************定时中断****************************/ void t0(void)interrupt 1

{ m++;yichu=2;

//定时器0溢出,yichu=2 TH0=0x3c;

//定时50ms TL0=0xb0;} /***********************频率显示*****************************/ void Fdisplay(float f){

if(f>999400.00)

{

if(f<4000400.00)

{sprintf(buff,“ F=%2.4fmHz ”,(f/1000000.00));}

}

else

{

if(f>1040.00)

{sprintf(buff,“ F=%4.2fkHz ”,(f/1000.00));}

else

{

if(f>0.06)

{sprintf(buff,“ F=%3.2fHz

”,f);}

}

}

GotoXY(0,1);

Print(buff);} /***********************周期显示**********************************/ void Pdisplay(float p){

if(p>999400.00)

{

if(p<10004000.00)

{sprintf(buff,“ Cycle:%2.4fs ”,(p/1000000.00));}

else

{sprintf(buff,“error(Time or F)”,p);}

}

else

{

if(p>9950.00)

{sprintf(buff,“ Cycle:%4.2fms ”,(p/1000.00));}

else

{

if(p>0.248)

{sprintf(buff,“ Cycle:%3.3fus ”,p);}

else

{sprintf(buff,“error(Time or F)”,p);}

}

}

GotoXY(0,1);

Print(buff);}

/*********************测试频率**************************/ void cepin(){ unsigned char a;unsigned long js;m=0;n=0;TMOD=0x51;TH0=0x3c;

//定时50ms TL0=0xb0;TH1=0;TL1=0;a=sj/50000.00;TCON=0x50;

//启动定时器和计数器 while(m!=a);TCON=0;js=TH1*256+n*65536+TL1;f=(js/(sj/1000000.00))*fenpin;p=sj/(js*fenpin);if(xs==0)

//设置结束后第一次不显示结果 { if(flag==Freq)Fdisplay(f);else Pdisplay(p);} }

/*********************判断频率******************************/ void panduan(){ xs=0;

//设置结束后第二次循环显示结果 B153=1;

//选择16分频 A153=0;yichu=0;TMOD=0x51;

TH0=0xff;

TL0=0x38;TH1=0xff;

TL1=0x9c;TR0=1;

TR1=1;while(yichu==0);

TR0=0;

TR1=0;

if(yichu==1)

(f>500khz)

{fenpin=16;

cepin();} else

频数

{

yichu=0;

B153=0;

A153=1;

TH0=0xfc;

TL0=0x18;

TH1=0xff;

TL1=0x9c;

TR0=1;

TR1=1;

while(yichu==0);

TR0=0;

TR1=0;

if(yichu==1)

(1khz

{fenpin=4;

//定时器0

200us

//计数器1

100脉冲

//启动定时器0和计数器1

//如果没有溢出一直循环

//已经溢出关闭定时器0和计数器1

//计数器先溢出:在200ms内测得的脉冲过多,说明频率较高

//转为测16分频后的频率 //定时器先溢出:100个脉冲的时间比较短,即频率较低,可以减少分

//定时器0

1ms

//计数器1

100个脉冲

//启动定时器0和计数器1

//如果没溢出一直循环

//已经溢出关闭定时器0和计数器1

//计数器先溢出:在1ms内测得的脉冲过多,说明频率较高

//转为测4分频后测频率

cepin();}

else

//定时器先溢出:100个脉冲的时间比较短,即频率较低

{ fenpin=1;

B153=0;

A153=0;

cepin();}

} } /*************************显示闸门时间***********************************/

void timedisplay(float GTime){ sprintf(buff,“GTime=%7.0fus ”,GTime);GotoXY(0,1);Print(buff);Delay(50);} /***************************减按键*******************************/ void Time_Set2(){ Delay(1000);if(P17==1)

{ sj=sj-50000.00;

if(sj>50000.00)timedisplay(sj);

else

{sj=50000.00;

timedisplay(sj);}

} else {while(P17==0)

{ Delay(500);

sj=sj-500000.00;

if(sj>50000.00)timedisplay(sj);

else

{sj=50000.00;

timedisplay(sj);}

} }

} /***************************加按键**********************************/

void Time_Set1(){ Delay(1000)if(P16==1)

{

sj=sj+50000.00;

if(sj<10000000.00)timedisplay(sj);

else

{sj=10000000.00;

timedisplay(sj);}

} else {while(P16==0)

{ Delay(500);

sj=sj+500000.00;

if(sj<10000000.00)timedisplay(sj);

else

{sj=10000000.00;

timedisplay(sj);}

//按住1s快加0.5s

} }

} /************************闸门时间设置***********************************/ void Time_Set()interrupt 0 { EA=0;

//防止无限中断

Delay(100);if(Set==0)

{

Delay(1000);

//判断处于哪种设置状态

if(Set==1)

{

GotoXY(0,1);

Print(“ Press Button ”);

GotoXY(0,0);

Print(“

T Settings

”);

while(Set==1)

{

switch(Key_Set)

{

case K1: Time_Set1();break;

case K2: Time_Set2();break;

default: break;

}

}

}

else

//选择测试频率或周期

{

GotoXY(0,1);

Print(“ 1.Freq 2.Cycle”);

GotoXY(0,0);

Print(“

Select ”);

while(Set==0);

//等待设置按键松开

while(Set==1)

{

switch(Key_Set)

{

case K1: flag=Freq;GotoXY(0,1);Print(“

---Freq---

case K2: flag=Peri;GotoXY(0,1);Print(”

---Cycle---

default: break;

}

}

}

} GotoXY(0,0);Print(“---Cymometer---”);

GotoXY(0,1);Print(“

Waiting...”);while(Set==0);

//防止再次进入中断

EA=1;xs=1;

//不显示此次结果.} /**************************主程序****************************/ void main(){

LCD_Initial();

//LCD初始化 GotoXY(0,0);Print(“---Cymometer---”);GotoXY(0,1);Print(“ Huixi && Xia”);Delay(1000);GotoXY(0,1);Print(“

Waiting...”);

“);break;”);break;

C52_Initial();

//89c52初始化 while(1)

{

panduan();

} }

5、调试过程

程序的设计及调试过程中离不开89C52的开发系统板电路图,起初忽视了开发板上的K3键用到的P35引脚也是定时器T1的信号输入引脚。后来在其他管脚的分配也曾出现错误,不过通过调试、修改都一一改正。仿真的时候默认晶振是12MHz,故程序编写的时候按12MHz设计的定时,以至于在实体时候测量不是很准确,后通过重新计算、修改程序达到了较高的准确率。但最终在实体系统演示中犯了一个极其幼稚的错误,未拿掉短接帽,以至于起初LCD未任何显示,浪费了不少时间去找程序的问题,还是自己不够认真细心。

6、实验结果

(1)最终实物图

最终实体电路系统如图6-1。

图6-1最终实物图

该课程设计验收时结果符合设计要求,可测量矩形波,方波,三角波,锯齿波等信号的频率;测量范围为0.1Hz~4MHz;闸门时间为0.05s~10s可调。

(2)电路仿真分析

由于实物演示不方便,这里用仿真图进行说明: 如下图,开关K1、K2、SET分别代表实物单片机最小系统上的开关K5、K4、K6。SET为确认键,K1可以选择频率输出,K2可以选择周期输出。K1、K2配合还可以调节阀门时间。

下图为开关仿真图和结果显示图:

开关K1,K2选择测周、测频及闸门时间的增减,SET键用于功能切换及确认

初始显示

选择测频率或测周期

频率显示

周期显示

改变阀门时间

7、总结与感悟

本设计通过运用单片机AT89S52,Proteus 仿真软件以及Kell 仿真软件的相关知识,成功地实现了数字频率计的设计。综合调试结果表明,本文提出的设计与传统测频系统相比,具有体积小、成本低、低功耗、精度高等优点,适用于各种测量电路。

本次设计的低频测量部分及高频测量部分分别采用测频、测周的测量方法,并通过计算精确计数,故测量误差很小,再加上智能分频使单片机的测量带宽提高了一千倍以上,仅用几个芯片搭成的低成本简单电路,使该频率计拥有了不错的性价比,且完全可以满足一般的测量需求。本次课程设计由前期自己查资料、想设计思路、写代码及画电路图,然后在实验室多半天基本完成。总体来说还算顺利,所以前期做足准备工作很重要。通过本次课设,我们复习了老师所讲的C51知识,通过将所学的课本知识用于实践,即体会到了知识运用所得成果的快乐,又加深了我对知识的理解,印象更加深刻。通过参加竞赛及此次课设,我深刻体会到无论设计什么,首先要分析需求,根据所学设计构思,只要思路出来了编程的大致方向确定,问题就解决一半。另外,很多东西都是有前人经验的,并不是要我们只会盲目埋头自己苦干,要学会搜集资料,总结前人经验教训用于自己的设计,达到事半功倍的效果。在电路设计及编程、仿真以及最后的搭建实体电路系统中,任何一个环节中细节都不容忽视,比如焊接前外围电路板的排版布局,拿到新的洞洞板要先看构造,综合考虑电路需要,想好布局再焊,尽量避免错误焊接,吸掉重焊。这样既影响美观,又可能损坏电路板。另外,仿真只是初步的检验设计构思是否满足设计需要,仿真效果完美在实体系统调试运行中还是会遇到各种各样的问题亟待解决。总的来说,做任何设计要有清楚的头脑,做好准备工作,还要有耐心、细心,以及团队合作意识。每次的动手设计都能让我学到很多,有知识有心态,实践中的学习总会印象深刻!

第四篇:数字频率计设计

数字频率计设计 1.设计任务

设计一简易数字频率计,其基本要求是:

1)测量频率范围1Hz~10Hz,量程分为4档,即×1,×10,×100,×1000。2)频率测量准确度fx2103.fx3)被测信号可以是下弦波、三角波和方波。4)显示方式为4位十进制数显示。5)使用EWB进行仿真。2.设计原理及方案

频率的定义是单位时间(1s)内周期信号的变化次数。若在一定时间间隔T内测得周期信号的重复变化次数为N,则其频率为

f=N/T 据此,设计方案框图如图1所示。

图1 数字频率计组成框图

其基本原理是,被测信号ux首先经整形电路变成计数器所要求的脉冲信号,频率与被测信号的频率fx相同。时钟电路产生时间基准信号,分频后控制计数与保持状态。当其高电平时,计数器计数;低电平时,计数器处于保持状态,数据送入锁存器进行锁存显示。然后对计数器清零,准备下一次计数。其波形逻辑关系图如图2所示。3.基本电路设计 1)整形电路

整形电路是将待测信号整形变成计数器所要求的脉冲信号。电路形式采用由555定时器所构成的施密特触发器,电路如图XXX所示。若待测信号为三角波,输入整形电路,设置分析为瞬态分析,启动电路,其输入、输出波形如图XXX所示。可见输出为方波,二者频率相同。

2)时钟产生电路

时钟信号是控制计数器计数的标准时间信号,其精度很大程度上决定了频率计的频率测量精度。当要求频率测量精度较高时,应使用晶体振荡器通过分频获得。在此频率计中,时钟信号采用555定时器构成的多谐振荡器电路,产生频率为1Kz的信号,然后再进行分频。多谐振荡器电路如图XXX所示。由555定时器构成多谐振荡器的周期计算公式为

XXXXXXXXXX 取XXXXXXXXXXXXXX,则得到振荡频率为1Kz的负脉冲,其振荡波形如图XXX所示。3)分频器电路

采用计数器构成分频电路,对1Kz的时钟脉冲进行分频,取得不同量程所需要的时间基准信号,实现量程控制。1Kz的时钟脉冲,对其进行3次10分频,每个10分频器的输出信号频率分别为100Hz,10Hz,1Hz三种时间基准信号。对应于以1Kz,100Hz,10Hz,1Hz的信号作为时间基准信号时,相应的量程为×1000,×100,×10,×1。

构成10分频带电路是采用十进制计数器74LS160实现的。具体电路及其输入、输出波形如图XXX所示。

(1)T触发器

T触发器电路是用来将分频带器输出阻抗的窄脉冲整形为方波,因为计数器需要用方波来控制其计数/保持状态的切换。整形后方波的频率为频器输出信号频率的一半,则对应于1Kz,100Kz,10Kz,1Hz的信号,T触发器输出信号的高电平持续时间分别为0.001s,0.01s,0.1s,1s。T触发器采用JK触发器7473为实现,其电路连接图及其输入、输出波形如图XXX所示。

(2)单稳触发器

单稳触发器用于产生一窄脉冲,以触发锁存器,使计数器在计数完毕后更新锁存器数值。单稳触发器电路采用555定时器实现,为了保证系统正常工作,单稳电路产生的脉冲宽度不能大于该量程分频带器输出信号的周期。例如,计数器的最大量程是×1000,对应分频带器输出的时间基准信号频率为1000Hz,周期是1ms。取单稳电路输出脉冲宽度TW=0.1ms。根据TW=1.1RC,取C=0.01Uf,则R=9.8KΩ,取标称什为10KΩ。单稳触发器输入信号是T触发器输出信号经Rd、Cd组成的微分器将方波变成尖脉冲后加到555定时器的触发器。电路图及输入、输出波形如图XXX所示。

(3)延迟反相器

延时反相器的功能是为了得到一个对计数器清零的信号。由于计数器清零是低电平有效,而且计数器清零必须在单稳触发信号之后,故延迟反相器是在上述单稳电路之后,再加一级单稳触发电路,且在其输出端加反相器输出。其输入、输出波形如图XXX所示。(4)计数器

计数器在T触发器输出信号的控制下,对经过整形的待测信号进行脉冲计数,所得结果乘以量程即为待测信号频率。

根据精度要求,采用4个十进制计数器级联,构成N=1000计数器。十进制计数器仍采用74LS160实现。其电路图如图XXX所示。其中计数器的清零信号由延迟反相器提供,控制信号由T触发器提供,计数器输出结果送入锁存器。

(5)锁存器和显示

计数器的结果进入锁存器锁存,4个七段数码管显示测试信号的频率。锁存器使用了两片8D集成触发器实现,其控制信号来自于延迟反相器,具体电路如图XXX所示。

(6)数字频率计的总体电路

图XXX是数字频率计的总体电路图。

4.测试

搭建好以上电路以后,进行调试,首先分模块进行调试,待每一个模块调试正确后,不规则进行联调。因为整个电路的分析是瞬态分析,故总体电路的分析需要较长时间。以上仅仅是学生所做综合电路分析与设计的例子,由于EWB5.12教学版本库元件的限制,有些电路与系统无法进行全部电路的仿真(例如收发信通信系统等),但有些局部电路也可以进行仿真,从而节省对这部分电路设计化费的时间。

第五篇:基于FPGA的数字频率计设计报告

电子技术综合试验实验报告

班级:测控一班

学号:2907101002

姓名:李大帅 指导老师:李颖

基于FPGA的数字频率计设计报告

一、系统整体设计

设计要求:

1、被测输入信号:方波

2、测试频率范围为:10Hz~100MHz

3、量程分为三档:第一档:闸门时间为1S时,最大读数为999.999KHz

第二档:闸门时间为0.1S时,最大读数为9999.99KHz

第三档:闸门时间为0.01S时,最大读数为99999.9KHz。

4、显示工作方式:a、用六位BCD七段数码管显示读数。

b、采用记忆显示方法

c、实现对高位无意义零的消隐。

系统设计原理:

所谓“频率”,就是周期性信号在单位时间(1秒)内变化的次数。若在一定的时间间隔T内计数,计得某周期性信号的重复变化次数为N,则该信号的频率可表达为:f = N / T.基于这一原理我们可以使用单位时间内对被测信号进行计数的方法求得对该信号的频率测量,具体实现过程简述如下: 首先,将被测信号①(方波)加到闸门的输入端。由一个高稳定的石英振荡器和一系列数字分频器组成了时基信号发生器,它输出时间基准(或频率基准)信号③去控制门控电路形成门控信号④,门控信号的作用时间T是非常准确的(由石英振荡器决定)。门控信号控制闸门的开与闭,只有在闸门开通的时间内,方波脉冲②才能通过闸门成为被计数的脉冲⑤由计数器计数。

闸门开通的时间称为闸门时间,其长度等于门控信号作用时间T。比如,时间基准信号的重复周期为1S,加到闸门的门控信号作用时间T亦准确地等于1S,即闸门的开通时间——“闸门时间”为1S。在这一段时间内,若计数器计得N=100000个数,根据公式f = N / T,那么被测频率就是100000Hz。如果计数式频率计的显示器单位为“KHz”,则显示100.000KHz,即小数点定位在第三位。不难设想,若将闸门时间设为T=0.1S,则计数值为10000,这时,显示器的小数点只要根据闸门时间T的改变也随之自动往右移动一位(自动定位),那么,显示的结果为100.00Khz。在计数式数字频率计中,通过选择不同的闸门时间,可以改变频率计的测量范围和测量精度。

系统单元模块划分: 1)分频器,将产生用于计数控制的时钟分别为1HZ,10HZ,100HZ脉冲和1KHZ的用于七段显示数码管扫描显示的扫描信号。

2)闸门选择器,用于选择不同的闸门时间以及产生后续的小数点的显示位置。3)门控电路,产生用于计数的使能控制信号,清零信号以及锁存器锁存信号。4)计数器,用于对输入的待测信号进行脉冲计数,计数输出。

5)锁存器,用于对计数器输出数据的锁存,便于后续译码显示电路的对数据进行记忆显示,同时避免计数器清零信号对数据产生影响。

6)译码显示,用于产生使七段显示数码管的扫描数字显示,小数点显示的输出信号,同时对高位的无意义零进行消隐。

二、单元电路设计

1、分频器:

该电路将产生四个不同频率的信号输出,因为电路板上给出了一个48MHZ的晶振,所以我们只需要对48MHZ的信号进行适当分频即可得到我们所需的四个不同频率的信号输出,我们设计一个输入为48MHZ,有四个输出端分别为1HZ,10HZ和100HZ,1KHZ的分频器,原程序如下:

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fenpinqi is Port(clk : in STD_LOGIC;clkout1 : out STD_LOGIC;clkout10 : out STD_LOGIC;clkout100 : out STD_LOGIC;clkout1K : out STD_LOGIC);end fenpinqi;

architecture Behavioral of fenpinqi is signal cnt1:integer range 1 to 24000000;signal cnt10:integer range 1 to 2400000;signal cnt100:integer range 1 to 240000;signal cnt1K:integer range 1 to 24000;signal c1:std_logic;signal c2:std_logic;signal c3:std_logic;signal c4:std_logic;begin process(clk)is begin if clk'event and clk='1' then if cnt1<24000000 then

--对cnt1进行计数,当cnt1未计满后对其进行加1 cnt1<=cnt1+1;elsif cnt1=24000000 then

--cnt1计满后对其进行赋一,并且令c1进行翻转,然后将c1的值赋给clkout1

c1<=not c1;--由于48MHZ的的信号,前一半的时候c1为0,则后一半是为1,就完成了对信号进行分频,产生了1HZ的信号 cnt1<=1;end if;end if;end process;process(clk)is begin if clk'event and clk='1' then--方法同上

if cnt10<2400000 then cnt10<=cnt10+1;elsif cnt10=2400000 then c2<=not c2;

cnt10<=1;

end if;end if;end process;

process(clk)is begin

if clk'event and clk='1' then

--方法同上

if cnt100<240000 then

cnt100<=cnt100+1;

elsif cnt100=240000 then

c3<=not c3;

cnt100<=1;

end if;end if;end process;

process(clk)is begin

if clk'event and clk='1' then

--方法同上

if cnt1K<24000000 then

cnt1K<=cnt1K+1;

elsif cnt1=24000 then

c4<=not c4;

cnt1K<=1;

end if;end if;end process;clkout1<=c1;clkout10<=c2;clkout100<=c3;clkout1K<=c4;end Behavioral;源文件编写成功后编译并生成图形文件符号如图:仿真文件编写如下:

LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.all;USE ieee.numeric_std.ALL;

ENTITY tbb_vhd IS END tbb_vhd;ARCHITECTURE behavior OF tbb_vhd IS COMPONENT fenpinqi 4

BEGIN

END;uut: fenpinqi PORT MAP(clk => clk,clkout1 => clkout1, clkout10 => clkout10, clkout100 => clkout100, clkout1K => clkout1K);PORT(clk : IN std_logic;

clkout1 : OUT std_logic;clkout10 : OUT std_logic;clkout100 : OUT std_logic;clkout1K : OUT std_logic);END COMPONENT;SIGNAL clk : std_logic := '0';SIGNAL clkout1 : std_logic;SIGNAL clkout10 : std_logic;SIGNAL clkout100 : std_logic;SIGNAL clkout1K : std_logic;tb : PROCESS BEGIN

clk<='0';wait for 10 ps;clk<='1';wait for 10 ps;END PROCESS;对该模块进行仿真结果如下:

有上图可知分频器工作正常,产生的个信号也没有毛刺,结果十分理想。

2、闸门选择器:

在这个模块中我们有四个输出端和六个输入端,其中四个输出端中有一个是频率输出端,是通过三个闸门选择开关输入和三个输入频率决定的,另外三个输出端则是用来后面的小数点控制的,而六个输入端中的三个是上面分频器的三个输出1HZ,10HZ和100HZ,另外三个是电路板上的拨动开关,用来选择闸门,控制输出。其原程序和分析如下:

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity SELE is Port(SE1 : in STD_LOGIC;

SE10 : in STD_LOGIC;SE100 : in STD_LOGIC;F1HZ : IN STD_LOGIC;F10HZ : IN STD_LOGIC;F100HZ :IN STD_LOGIC;FREF : out STD_LOGIC;DP1 : out STD_LOGIC;DP2 : out STD_LOGIC;DP3 : out STD_LOGIC);end SELE;architecture Behavioral of SELE is begin PROCESS(SE1,SE10,SE100)IS BEGIN IF SE1='1' AND SE10='0' AND SE100='0' THEN

FREF<=F1HZ;--当闸门控制在第一档的时候,令输出端输出1HZ输入端的输入,小数点控制dp1有效,dp2,dp3无效

DP1<='0';

DP2<='1';DP3<='1';END IF;IF SE1='0' AND SE10='1' AND SE100='0' THEN

FREF<=F10HZ;

--第二档,输出为10HZ,dp2有效

DP1<='1';

DP2<='0';DP3<='1';END IF;IF SE1='0' AND SE10='0' AND SE100='1' THEN

FREF<=F100HZ;

--第三档,输出为100HZ,dp3有效

DP1<='1';

DP2<='1';DP3<='0';END IF;END PROCESS;end Behavioral;源代码编写完成后保存并生成图形文件符号如图:

仿真文件编写如下:

LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.all;USE ieee.numeric_std.ALL;

ENTITY TTB_vhd IS END TTB_vhd;ARCHITECTURE behavior OF TTB_vhd IS COMPONENT SELE

PORT(SE1 : IN std_logic;

SE10 : IN std_logic;

SE100 : IN std_logic;

F1HZ : IN std_logic;

F10HZ : IN std_logic;

F100HZ : IN std_logic;

FREF : OUT std_logic;

DP1 : OUT std_logic;

DP2 : OUT std_logic;DP3 : OUT std_logic);END COMPONENT;SIGNAL SE1 : std_logic := '1';SIGNAL SE10 : std_logic := '0';SIGNAL SE100 : std_logic := '0';SIGNAL F1HZ : std_logic := '0';SIGNAL F10HZ : std_logic := '0';SIGNAL F100HZ : std_logic := '0';SIGNAL FREF : std_logic;SIGNAL DP1 : std_logic;SIGNAL DP2 : std_logic;SIGNAL DP3 : std_logic;BEGIN uut: SELE PORT MAP(SE1 => SE1,SE10 => SE10,SE100 => SE100,F1HZ => F1HZ,F10HZ => F10HZ,F100HZ => F100HZ,FREF => FREF,DP1 => DP1,DP2 => DP2, DP3 => DP3);

tb : PROCESS BEGIN

F1HZ<='0';FREF<='0';

wait for 100 ns;

F1HZ<='1';FREF<='1';WAIT FOR 100 NS;END PROCESS;END;仿真结果如图:

有仿真结果可知闸门选择器工作正常,能够准确输出我们所需的信号。

3、门控信号:

在此模块中有一个输入端和两个输出端,输入端为上面的闸门选择器输出的频率,两个输出端分别为计数器是能控制信号(锁存器控制信号),和计数器清零信号。具体源程序即分析如下:

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity CONTROLS is Port(FREF : in STD_LOGIC;GAT : out STD_LOGIC;CLR : out STD_LOGIC);end CONTROLS;

architecture Behavioral of CONTROLS is SIGNAL G1: STD_LOGIC:='0';begin

PROCESS(FREF)IS

BEGIN IF FREF'EVENT AND FREF='1' THEN G1<=NOT G1;--该过程对时钟信号又一次进行分频,产生出半个周期时间为1的控制信号,作为计数使能,保证了时间的准确性

END IF;END PROCESS;PROCESS(FREF,G1)IS

BEGIN IF FREF='0' AND G1='0' THEN CLR<='1';--该过程产生清零信号,即当使能信号为无效0同时时钟为0时,即在技术始终无效半个时钟时间后,对计数器清零

--清零信号高电平有效 ELSE CLR<='0';END IF;END PROCESS;GAT<=G1;--将G1赋给gat输出端,它是计数器的使能信号同时也是锁存器的锁存信号

end Behavioral;源文件编写完成后保存编译并生成图形文件符号如图:

仿真文件代码如下:

LIBRARY ieee;8

USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.all;USE ieee.numeric_std.ALL;

ENTITY TBCON_vhd IS END TBCON_vhd;ARCHITECTURE behavior OF TBCON_vhd IS

BEGIN

END;tb : PROCESS BEGIN

FREF<='0';WAIT FOR 100 NS;FREF<='1';WAIT FOR 100 NS;uut: CONTROLS PORT MAP(FREF => FREF, GAT => GAT, CLR => CLR);COMPONENT CONTROLS PORT(FREF : IN std_logic;

GAT : OUT std_logic;CLR : OUT std_logic);END COMPONENT;SIGNAL FREF : std_logic := '0';SIGNAL GAT : std_logic;SIGNAL CLR : std_logic;END PROCESS;对上面的文件进行仿真,结果如下:

由上图的仿真结果可知,控制电路工作正常,输出信号稳定,很理想。同时我们也可以看出来该模块对分频器的时钟输出的稳定性依赖十分严重,一旦分频器输出时钟有毛刺,该控制信号将会完全的无效,这也是为什么我知道上面的分频器设计不是最优的方案,却还是采用了上述方法的原因。

4、计数器:

该模块实现的功能是对输入信号脉冲的计数,并正确的输出结果和溢出。使用上面的门控信号产生的gat信号控制计数器的使能端,以实现计数器的定时计数。该模块是使用六个十进制计数器同步并联而成的,首先我们设计用于并联的十进制计数器,原程序如下:

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;9

entity CNT10 is Port(CLK : in STD_LOGIC;CLR : in STD_LOGIC;

ENA : in STD_LOGIC;CQ : out STD_LOGIC_VECTOR(3 downto 0);CO : out STD_LOGIC);end CNT10;

architecture Behavioral of CNT10 is SIGNAL CQI: STD_LOGIC_VECTOR(3 DOWNTO 0):=“0000”;

--定义中间信号CQI,用于数据输出的循环计数

begin

PROCESS(CLK,CLR)IS

end Behavioral;

BEGIN IF CLR='1' THEN CQI<=“0000”;

--当CLR清零信号有效时使输出为0000,无效时进行下述操作--对时钟进行计数 ELSIF CLK'EVENT AND CLK='1' THEN

IF ENA='1' THEN

--判断使能信号,有效则进行计数,否则不作处理

--数据0~9循环,计满后重新回到0 IF CQI=“1001” THEN CQI<=“0000”;ELSE CQI<=CQI+'1';END IF;END IF;END IF;END PROCESS;CO <= '1' when ena = '1' and cqi = 9 else '0';CQ<=CQI;

--进位信号,最高位的仅为信号作为计数的溢出信号

--当且仅当使能有效且计数为9时产生进位信号,进位信号1有效,同步并联时连高位的使能端

文件编写完成后保存编译生成图形文件符号,如上图:

创建图形文件cnt6并按照下图进行连接,保存后编译生成图形文件符号如图:

仿真文件代码如下:

LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.all;USE ieee.numeric_std.ALL;

ENTITY TBCNT10_vhd IS END TBCNT10_vhd;ARCHITECTURE behavior OF TBCNT10_vhd IS

COMPONENT CNT10 PORT(CLK : IN std_logic;

CLR : IN std_logic;ENA : IN std_logic;CQ : OUT std_logic_vector(3 downto 0);CO : OUT std_logic);END COMPONENT;SIGNAL CLK : std_logic := '0';SIGNAL CLR : std_logic := '0';SIGNAL ENA : std_logic := '1';SIGNAL CQ : std_logic_vector(3 downto 0);SIGNAL CO : std_logic;BEGIN

END;tb : PROCESS BEGIN

CLK<='0';wait for 100 ns;CLK<='1';WAIT FOR 100 NS;uut: CNT10 PORT MAP(CLK => CLK, CLR => CLR, ENA => ENA, CQ => CQ, CO => CO);END PROCESS;仿真结果如图:

如仿真结果我们可以看出,该模块运行正常,计数稳定,结果十分理想。

5、锁存器:

由于前面的计数器的输出为六组四位二进制数和一个溢出信号,所以我们使用的锁存器也使用六个四位锁存器和一个一位锁存器。锁存器使用下降沿锁存,即当计数器的使能信号变为无效的一瞬间我们令锁存器将数据锁存。四位锁存器的原代码如下:

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity LATCH4 is Port(CLK : in STD_LOGIC;DIN : in STD_LOGIC_VECTOR(3 downto 0);QOU : out STD_LOGIC_VECTOR(3 downto 0));end LATCH4;architecture Behavioral of LATCH4 is begin

PROCESS(CLK,DIN)IS

BEGIN

IF CLK'EVENT AND CLK='0' THEN--当时钟信号下降沿时,实现锁存

QOU<=DIN;

END IF;END PROCESS;end Behavioral;上述文件编写完成后保存编译生成图形文件符号如图: 再编写一位锁存器,源程序代码如下:

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity LATCH1 is Port(CLK : in STD_LOGIC;DIN : in STD_LOGIC_VECTOR;QOU : out STD_LOGIC_VECTOR);end LATCH1;architecture Behavioral of LATCH1 is begin

PROCESS(CLK,DIN)IS

BEGIN

IF CLK'EVENT AND CLK='0' THEN--当时钟信号下降沿时,实现锁存

QOU<=DIN;

END IF;END PROCESS;end Behavioral;

文件编写完成后保存编译生成图形文件符号,如图:

锁存完成后有六组四位二进制数和一个一位二进制数,所以我们队总线进行了合并,即将六组四位数合并成一个二十四位数,合并程序如下:

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity ADVOCATES is Port(S0 : in STD_LOGIC_VECTOR(3 downto 0);S1 : in STD_LOGIC_VECTOR(3 downto 0);S2 : in STD_LOGIC_VECTOR(3 downto 0);S3 : in STD_LOGIC_VECTOR(3 downto 0);S4 : in STD_LOGIC_VECTOR(3 downto 0);S5 : in STD_LOGIC_VECTOR(3 downto 0);S6 : out STD_LOGIC_VECTOR(23 downto 0));

end ADVOCATES;

architecture Behavioral of ADVOCATES is

begin S6(23 DOWNTO 20)<=S0;S6(19 DOWNTO 16)<=S1;S6(15 DOWNTO 12)<=S2;S6(11 DOWNTO 8)<=S3;S6(7 DOWNTO 4)<=S4;S6(3 DOWNTO 0)<=S5;end Behavioral;--将总线的对应位进行连接

文件编写完成后保存编译生成图形文件符号,如图:

创建该模块的顶层图形文件LAT.sch将上述个文件按照如图所示连接,保存编译生成图形文件符号如图:

该模块的输入输出简单,无需仿真。

6、译码显示:

该模块实现的是对锁存器锁存的数据进行处理并显示输出,以及小数点的不同闸门的输出显示,以及电路板上七段显示译码管的扫描信号输出。其中对锁存数据的处理包括溢出有效时的数据消除,和对高位无意义零的自动消隐。首先我们编写小数点控制的源文件代码:

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity POINTCON is Port(SE1 : in STD_LOGIC;SE10 : in STD_LOGIC;SE100 : in STD_LOGIC;SEL : in STD_LOGIC_VECTOR(2 downto 0);DP : out STD_LOGIC);end POINTCON;

architecture Behavioral of POINTCON is begin

PROCESS(SE1,SE10,SE100,SEL)IS

BEGIN

IF SE1='1' AND SE10='0' AND SE100='0' AND SEL=“011” THEN DP<='0';

--当为第一档时,令第四位的数码管的小数点点亮,其他的不亮

ELSIF SE1='0' AND SE10='1' AND SE100='0' AND SEL=“010” THEN DP<='0';

--第二档时,第三位的数码管小数点点亮

ELSIF SE1='0' AND SE10='0' AND SE100='1' AND SEL=“001” THEN DP<='0';

--第三档时,第二位的小数点点亮--不符合上述三档时,小数点全部消隐 ELSE DP<='1';END IF;END PROCESS;end Behavioral;编写完成后保存编译生成图形文件符号如图:

再编写用于数码管扫描显示的的位选信号生成文件,其代码如下:

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity CTRLS is Port(CLK : in STD_LOGIC;SEL : out STD_LOGIC_VECTOR(2 downto 0));end CTRLS;

architecture Behavioral of CTRLS is SIGNAL CNT: STD_LOGIC_VECTOR(2 DOWNTO 0):=“000”;begin PROCESS(CLK)IS 14

BEGIN IF CLK'EVENT AND CLK='1' THEN

--接入1KHZ的时钟信号,使CNT进行循环计数,从000到101

IF CNT=”101” THEN CNT<=”000”;--计满则清零,不满则加一

ELSE CNT<=CNT+’1’;END IF;END IF;END PROCESS;SEL<=CNT;--将CNT信号赋给SEL输出

end Behavioral;文件编写完成后保存编译生成图形文件符号如图:

再编写使高位无意义零自动消隐功能的的文件,源程序代码如下:

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity DSELE is Port(DP1: IN STD_LOGIC;DP2: IN STD_LOGIC;DIN : in STD_LOGIC_VECTOR(23 downto 0);QOU : out STD_LOGIC_VECTOR(23 downto 0));end DSELE;architecture Behavioral of DSELE is begin PROCESS(DP1,DP2,DIN)IS

BEGIN

IF DP1='0' AND DP2='1' THEN

IF DIN(23 DOWNTO 20)=“0000” THEN

QOU(23 DOWNTO 20)<=“1111”;

QOU(19 DOWNTO 0)<=DIN(19 DOWNTO 0);

END IF;

IF DIN(23 DOWNTO 20)=“0000” AND DIN(19 DOWNTO 16)=“0000” THEN

QOU(23 DOWNTO 20)<=“1111”;

QOU(19 DOWNTO 16)<=“1111”;

QOU(15 DOWNTO 0)<=DIN(15 DOWNTO 0);

END IF;

END IF;

IF DP1='1' AND DP2='0' THEN

IF DIN(23 DOWNTO 20)=“0000” THEN

QOU(23 DOWNTO 20)<=“1111”;

QOU(19 DOWNTO 0)<=DIN(19 DOWNTO 0);

END IF;

IF DIN(23 DOWNTO 20)=“0000” AND DIN(19 DOWNTO 16)=“0000” THEN

QOU(23 DOWNTO 20)<=“1111”;QOU(19 DOWNTO 16)<=“1111”;

THEN

QOU(23 DOWNTO 20)<=“1111”;QOU(19 DOWNTO 16)<=“1111”;QOU(15 DOWNTO 12)<=“1111”;QOU(11 DOWNTO 8)<=“1111”;QOU(7 DOWNTO 0)<=DIN(7 DOWNTO 0);

QOU(15 DOWNTO 0)<=DIN(15 DOWNTO 0);END IF;IF DIN(23 DOWNTO 20)=“0000” AND DIN(19 DOWNTO 16)=“0000” AND DIN(15 DOWNTO 12)=“0000” THEN

QOU(23 DOWNTO 20)<=“1111”;QOU(19 DOWNTO 16)<=“1111”;QOU(15 DOWNTO 12)<=“1111”;QOU(11 DOWNTO 0)<=DIN(11 DOWNTO 0);END IF;END IF;IF DP1='1' AND DP2='1' THEN

IF DIN(23 DOWNTO 20)=“0000” THEN QOU(23 DOWNTO 20)<=“1111”;QOU(19 DOWNTO 0)<=DIN(19 DOWNTO 0);END IF;IF DIN(23 DOWNTO 20)=“0000” AND DIN(19 DOWNTO 16)=“0000” THEN

QOU(23 DOWNTO 20)<=“1111”;QOU(19 DOWNTO 16)<=“1111”;QOU(15 DOWNTO 0)<=DIN(15 DOWNTO 0);END IF;IF DIN(23 DOWNTO 20)=“0000” AND DIN(19 DOWNTO 16)=“0000” AND DIN(15 DOWNTO 12)=“0000” THEN

QOU(23 DOWNTO 20)<=“1111”;QOU(19 DOWNTO 16)<=“1111”;QOU(15 DOWNTO 12)<=“1111”;QOU(11 DOWNTO 0)<=DIN(11 DOWNTO 0);END IF;IF DIN(23 DOWNTO 20)=“0000” AND DIN(19 DOWNTO 16)=“0000” AND DIN(15 DOWNTO 12)=“0000” AND DIN(11 DOWNTO 8)=“0000” END IF;END IF;END PROCESS;end Behavioral;该段代码的编写的主要原理是首先判断小数点的位置,然后对小数点前的高位数从高到低依次进行判断,如果高位为零则将数据取反,在后续译码中将不再显示,从而实现高位无意义零的自动消隐。代码编写完成后保存编译生成图形文件符号如上图: 然后我们编写数据显示输出文件,代码如下:

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity DISPLAY1 is 16

Port(SEL : in STD_LOGIC_VECTOR(2 downto 0);

OVERIN : IN STD_LOGIC;

DATAIN : in STD_LOGIC_VECTOR(23 downto 0);SEG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END DISPLAY1;

architecture Behavioral of DISPLAY1 is SIGNAL DATA: STD_LOGIC_VECTOR(3 DOWNTO 0):=“0000”;BEGIN PROCESS(SEL,OVERIN)IS

BEGIN

CASE SEL IS

--对位选信号进行判断,对应每一位分别提取数据中的不同位置的数据赋给中间信号DATA

WHEN “000”=>DATA<=DATAIN(3 DOWNTO 0);

WHEN “001”=>DATA<=DATAIN(7 DOWNTO 4);

WHEN “010”=>DATA<=DATAIN(11 DOWNTO 8);

WHEN “011”=>DATA<=DATAIN(15 DOWNTO 12);

WHEN “100”=>DATA<=DATAIN(19 DOWNTO 16);

WHEN “101”=>DATA<=DATAIN(23 DOWNTO 20);

WHEN OTHERS=>DATA<=“0000”;

END CASE;

CASE DATA IS

--对中间信号DATA进行译码,SEG为数码管的数据显示输出,从而使不同位置上有不同的数字显示

WHEN “0000”=>SEG<=“1000000”;

WHEN “0001”=>SEG<=“1111001”;

WHEN “0010”=>SEG<=“0100100”;

WHEN “0011”=>SEG<=“0110000”;

WHEN “0100”=>SEG<=“0011001”;

WHEN “0101”=>SEG<=“0010010”;

WHEN “0110”=>SEG<=“0000010”;

WHEN “0111”=>SEG<=“1111000”;

WHEN “1000”=>SEG<=“0000000”;

WHEN “1001”=>SEG<=“0010000”;

WHEN OTHERS=>SEG<=“1111111”;

END CASE;

if OVERIN='1' THEN

--判断溢出,若溢出则令输出全部不显示

SEG<=“1111111”;END IF;END PROCESS;end Behavioral;代码编写完成后保存编译生成图形文件符号如图:

建立该模块的顶层图形文件DISPLAY.sch,按照下图连接各元件,保存编译生成图形文件符号如下图:17

该模块的输入数据量太大难以仿真,故这里只对其中的扫描信号生成文件进行仿真,仿真文件代码如下:

LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.all;USE ieee.numeric_std.ALL;

ENTITY TBCTRLS_vhd IS END TBCTRLS_vhd;ARCHITECTURE behavior OF TBCTRLS_vhd IS

BEGIN

END;uut: CTRLS PORT MAP(CLK => CLK, SEL => SEL);COMPONENT CTRLS PORT(CLK : IN std_logic;

SEL : OUT std_logic_vector(2 downto 0));END COMPONENT;SIGNAL CLK : std_logic := '0';SIGNAL SEL : std_logic_vector(2 downto 0);tb : PROCESS BEGIN

CLK<='0';WAIT FOR 100 NS;CLK<='1';WAIT FOR 100 NS;END PROCESS;结果如下:

有仿真结果我们可以看出程序运行正常,逻辑上没有任何问题。至此所有的单元电路全部完成。

三、设计实现

1、顶层文件:

创建图形文件FRYALL.sch,按照下图连接各模块生成的图形文件符号,完成后保存编译。

2、管脚分配:

由系统的顶层文件可以看到该系统的输入端共有5个,输出端有11个,管脚分配文件FRYALL.ucf如下:

NET “CLKIN” LOC = T8;NET “DCLK” LOC = C16;NET “DP” LOC = C11;NET “NECT” LOC = D7;NET “SE1” LOC = L6;NET “SE10” LOC = N5;NET “SE100” LOC = L7;NET “SEG<0>” LOC = B14;NET “SEG<1>” LOC = A13;NET “SEG<2>” LOC = C13;NET “SEG<3>” LOC = C12;NET “SEG<4>” LOC = A12;NET “SEG<5>” LOC = B12;NET “SEG<6>” LOC = A11;NET “SEL<0>” LOC = F8;NET “SEL<1>” LOC = D8;NET “SEL<2>” LOC = E7;19

3、下载过程:

光标移至【Generate Programing File】后单击鼠标右键,然后单击【Properties】在打开的对话框的左侧栏选中【Configuration Options】将右侧的Unused IOB Pins这一项改为Pull Up,单击OK。在界面的左下角双击【 Generate Programing File】,软件将自动对整个系统进行编译并生成可执行文件FRYALL.bit。将弹出的对话框关掉,双击【 Generate Prom, ACE,or JTAG File】在弹出的对话框中点击Finish,在随后出现的对话框中选择FRYALL.bit,然后点击打开,在随后的对话框中点击Bypass。右键单击左边图标选择Program,在随后的对话框中单击OK,文件将自动下载到开发板上,成功后,接入函数发生器进行测试。

四、测试结果及结论

经过了前三步,最后我们将所完成的工程下载到了板子上,连接好函数发生器,并设定好了函数发生器的输出信号电平(5Vpp),就可以进行使用了。

最后结果显示程序工作正常,读数清晰稳定,完全符合开始时的要求。

误差分析:

我将函数发生器的频率调节到999,999HZ时,频率计不显示,即产生了计数溢出,然后我进行了进一步的调节,将函数发生器的频率调节到999,997时读书显示为999.999,单位为KHZ。由此可知相对误差为±0.0002%,误差很小。所以本次实验圆满成功。

通过对数字频率计的设计实现,我深入的学习了ise软件的使用,VHDL语言的编写规范,语法结构等。在本次试验中我回顾了在《数字电路》课程中学过的关于数字电路的设计的部分,了解了VHDL和Verilog语言的区别与联系,以及它们的优缺点,以及它们主要针对的设计对象。我觉得我通过本次试验学会了很多。

下载基于51单片机的数字频率计的设计概要word格式文档
下载基于51单片机的数字频率计的设计概要.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    简易数字频率计设计报告(精选5篇)

    EDA技术基础 简易数字频率计 必做实验 电子信息科学与技术 物电学院 2011-06-24 湖北师范学院电工电子实验教学省级示范中心电子版实验报告 简易数字频率计 一.实验要求 用Ve......

    单片机心得1

    这次单片机的课程设计,让我学习到了很多很多,而这些是在课堂中无法学到的,在课堂上,我们学习的多是理论知识,很难理解,而这次单片机课程设计,给了我有一个把理论付诸于实践的平台,让......

    《概要设计说明书》编写指南1

    该文档收集他人文档而来: 一、问题的提出 概要设计写什么?概要设计怎么做? 如何判断设计的模块是完整的? 为什么说设计阶段过于重视业务流程是个误区? 以需求分析文档还是以概要......

    单片机设计心得

    四周的毕业设计结束了,在这次的毕业设计中不仅检验了我所学习的知识,也培养了我如何去把握一件事情,如何去做一件事情,又如何完成一件事情。在设计过程中,与同学分工设计,和同学们......

    单片机总结系列-1

    单片机,通过片内总线连接而成:中央处理器(CPU由运算器、控制器和若干特殊功能寄存器组成)、数据存储器(RAM)、程序存储器(ROM/EPROM)、并行输入/输出口(P0口-P3口)、串行口、定时/计......

    单片机学习心得1(5篇)

    单片机学习心得单片机是一门应用性和综合性很强的学科,它综合了电子技术中的模拟电路和数字电路方面的知识,特别是数字电路,因为数字电路在里面的应用很多。学习单片机最好先从......

    89c51单片机课程设计1

    单片机课程设计一、 项目目的:通过软件对单片机进行控制,实现电子广告牌的显示过程。即:使第一个点阵依次显示“L”“O”“V”“E”然后第二个点阵再一次显示“L”“O”“V”“......

    教学设计概要

    第四讲教学设计概要 万事预则立,不预则废。 不管是课堂革命还是课程改革,不管是三维目标还是核心素养,都离两个环节:备课和上课。 在建筑行业,建筑设计师比现场施工经理的地位要......