数字电路课程设计-电子秒表的设计

时间:2019-05-14 03:01:00下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《数字电路课程设计-电子秒表的设计》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《数字电路课程设计-电子秒表的设计》。

第一篇:数字电路课程设计-电子秒表的设计

目录

1.引言

1.1设计目的------------------------2 1.2设计内容------------------------2 1.3设计要求------------------------2 2.数字电子秒表设计

2.1仪器设备------------------------3 2.2设计原理------------------------3 2.3电路设计及仿真------------------3 3.电路的硬件验证-------------------10 4.心得体会--------------------------12 5.参考文献--------------------------12

1.引言

1.1设计目的:

(1)掌握同步计时器74160、74161的使用方法,并理解其工作原理。(2)掌握74160、74161进行计数器、分频器的设计方法。

(3)掌握用三态缓冲器74244和74160,74138,7448进行动态显示扫描电路设计的方法。(4)掌握电子秒表的设计方法。

(5)掌握在EDA系统软件MAX+plusII环境下用FPGA/CPLD进行数字系统设计的方法,掌握该环境下功能仿真、时序仿真、管脚锁定的芯片下载的方法。(6)掌握用EDA硬件开发系统进行硬件验证的方法。

1.2设计任务内容:

(1)设计可控的计数器(定时器)、分频器、按键去抖电路和动态扫描显示电路;(2)设计系统顶层电路;

(3)进行功能仿真和时序仿真;

(4)对仿真结果进行分析,确认仿真结果达到要求;

(5)在EDA硬件开发系统上进行硬件验证与测试,确保设计电路系统能正确地工作。

1.3设计要求

(1)计时精度不小于1/100秒;(2)输入时钟:1khz;

(3)计时器最长计时时间为1小时;(4)具有复位和启/停开关;

(5)显示控制:动态六位七段LED显示,位选以三位编码输出。要求显示稳定,扫描显示的频率大于50hz;

(6)完成硬件验证测试工作;

2.数字电子秒表设计

2.1仪器设备

(1)十进制计数器74LS160,十六进制计数器74LS161;(2)3-8译码器74138(3)BCD-七段译码器7448(4)三态缓冲器74244(5)各种门电路,触发器 2.2设计原理

电子秒表的输入时钟为1KHz,将其十分频后得到100Hz的信号作为标准信号进行计数,则技术值得分辨率为1/100秒,正好满足系统的要求。计数器分为3级,第1级是一百进制计数器作1/100秒的计数,第2级是六十进制计数器作秒的计数,第3级是六十进制计数器作分的计数。电子秒表的计数受控制模块的控制,控制模块接收“起/停”按键则停止输入,当停止计数时,接收到“起/停”键是一个反复键。为了保证系统操作的可靠性,还设计了一个按键去抖动电路。2.3 电路设计及仿真

下图为电子秒表的顶层逻辑图,它由一个按键去抖电路keyin,一个控制器ctrl,一个时钟产生电路clkgen,一个计时电路cntblk,一个50000分频电路div_50000和显示译码电路disp组成。

keyinstart_stopclrINPUTVCCINPUTVCCctr1CLRCNTENST_STinst2key_in1key_out1clkkey_out2key_in2inst4cntblkdiv_50000clk_1INPUTVCCdispA[3..0]B[3..0]C[3..0]D[3..0]E[3..0]F[3..0]clkgenCLKDIV_10DIV_40instclkclk_fpinst5cntenA[3..0]clkB[3..0]clrC[3..0]D[3..0]E[3..0]F[3..0]inst1A[3..0]LED[7..1]B[3..0]S[2..0]C[3..0]D[3..0]E[3..0]CLKF[3..0]inst3OUTPUTOUTPUTLED[7..1]S[2..0]

按键去抖电路

DFFDFFNOTAND3OUTPUTkey_in1INPUTVCCDPRNQDPRNQkey_out178CLRN56CLRNclkINPUTVCCNOT4DFFDFFkey_in2INPUTVCCDPRNQDPRNNOTAND3OUTPUTQkey_out21718CLRN1415CLRNNOT13 按键去抖电路keyin如图所示。任何按键在触点接触和断开的瞬间都会产生机械抖动,如果不进行处理,每一次按键有可能产生若干次的响应,一般抖动的时间小于20ms。Keyin模块能完成对输入信号的去抖动处理,它利用两个串接的边沿D触发器来消除高频的抖动,当在CLK端输入一个频率为25Hz的方波信号时,其输出信号就能得到宽度固定为20ms的单脉冲信号。

下图为它的仿真波形图。

从图中可见,存在于输入信号上的抖动被完全的消除了。

控制器电路

6NOTDFFDPRNQOUTPUTCNTENST_STINPUTVCCCLRN1CLRINPUTVCCNOT7

控制器ctrl模块如上图所示。它在“启/停”信号ST_ST和复位信号CLR的作用下完成对计数使能信号CNTEN的控制。任何时候只要CLR=1,则CETEN=0,所以它是异步清零;ST_ST是一个反复键,当CLR无效时,每一个ST_ST脉冲都会使CETEN反向,该信号作用于 控制计时器的计时,当取值为1时允许计时器计时,当取值为0时不允许计时器计时。

下图为它的仿真波形图。

时钟产生电路

VCCVCCNOT74160LDNABCDENTENPCLRNCLKINPUTVCCinst74160LDNABCDENTENPCLRNGNDinst4QAQBQCQDRCOQAQBQCQDRCOAND3OUTPUTDIV_40inst5CLKCOUNTERCLKinst1COUNTERDIV_10OUTPUT

时钟产生电路clkgen模块如上图所示。它完成对输入时钟信号分频,并输出四十分频信号DIV_40和十分频信号DIV_10,即25Hz去抖动时钟信号和100Hz计时器标准计时信号。clkgen模块可方便的由中规模TTL集成电路74160实现。

下图为它的仿真波形图。

计时电路

NOTAND2111074160LDNABCDENTENPCLRNCLK174160A[0]A[1]A[2]A[3]74160B[0]B[1]B[2]B[3]74160C[0]C[1]C[2]C[3]cntenINPUTVCCQAQBQCQDRCOLDNABCDENTENPCLRNCLK2QAQBQCQDRCOLDNABCDENTENPCLRNCLK3QAQBQCQDRCOLDNABCDENTENPCLRNCLK4QAQBQCQDRCOD[0]D[1]D[2]D[3]COUNTERCOUNTERCOUNTERCOUNTERclkINPUTVCCclrINPUTVCCNOT74160LDNABCDENTENPCLRNCLK5E[0]E[1]E[2]E[3]74160LDNABCDENTENPCLRNCLK6F[0]F[1]F[2]F[3]NOTAND2151213QAQBQCQDRCOQAQBQCQDRCOOUTPUTA[3..0]OUTPUTOUTPUTOUTPUTOUTPUTOUTPUTB[3..0]C[3..0]D[3..0]E[3..0]F[3..0]COUNTERCOUNTER 计时电路cntblk模块如图所示。它在控制信号cnten和clr的作用下完成对输入的clk信号进行计数。由于clk信号是标准的100Hz信号,因此一百进制计数器的进位输出就是1秒,对秒进行六十进制计数就得到1分,对分又六十进制计数,所以最大计数值为59:59:59,因起始值是00:00:00,故其最大的计时长度为1小时。cntlk模块将输出计时结果。

下图为cntblk模块的仿真波形图。

50000分频电路

因为系统要求输入的是1KHz频率,而开发板的系统频率为5MHz,所以要对系统频率进行50000分频。这里选择用VHDl设计。代码如下:

library ieee;--定义库文件 use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;

entity div_50000 is port(clk:in std_logic;clk_fp:out std_logic);

end entity;

architecture one of div_50000 is signal n:integer range 0 to 24999;

signal cp:std_logic;

begin process(clk)

begin

if clk'event and clk='1' then

if n<24999 then

n<=n+1;else

n<=0;cp<=not cp;

end if;end if;end process;clk_fp<=cp;end one;其波形仿真如下:

显示译码电路

Y1742441GN1A11A21A31A4A[3..0]INPUTVCCA[0]A[1]A[2]A[3]Y274481Y11Y21Y31Y4ABCDLTNRBINBIN54B[3..0]INPUTVCCB[0]B[1]B[2]B[3]342GN2Y12A12Y22A22Y32A32Y42A4OCTAL BUF.OAOBOCODVCCOEOFOGRBONLED[1]LED[2]LED[3]LED[4]LED[5]LED[6]LED[7]OUTPUTLED[7..1]OUTPUTBCD TO 7SEGNAND2S[2..0]Y3C[3..0]INPUTVCC742441GN1A11A21A31A41Y11Y21Y31Y4instC[0]C[1]C[2]C[3]Y4D[0]D[1]D[2]D[3]74161LDNABCDENTENPCLRN74138QAQBQCQDRCOY0NAY1NBY2NCY3NG1Y4NG2ANY5NG2BNY6NY7N563:8 DECODERD[3..0]INPUTVCC2GN2Y12A12Y22A22Y32A32Y42A4OCTAL BUF.38Y1Y2Y3Y4Y5Y6VCCVCCY5742441GN1A11A21A31A41Y11Y21Y31Y4CLK51E[3..0]INPUTVCCE[0]E[1]E[2]E[3]Y6F[0]F[1]F[2]F[3]CLKCOUNTERGNDF[3..0]INPUTVCC2GN2Y12A12Y22A22Y32A32Y42A4OCTAL BUF.inst7INPUTVCC 显示译码电路disp模块如上图所示。该模块有三个功能,首先它完成对输入的6组向量信号的选择,其中被选择的信号由S[2..0]决定,即当S[2..0]=“00”时,选中A,“01”时选中B,“05”时选中F;其次它还对选中的信号进行BCD-七段显示的译码;再次要完成位选信号的产生于输出。下图为它的仿真波形图。disp模块中位选信号的产生只用了一个74161接成六进制计数器即可,为了实现对输入的6个信号的选择再用一个74244,利用它的三态功能实现该部分电路。

3.电路的硬件验证

如下图所示,把程序下载到开发板上并且将SW2拨到高电平,电子秒表开始工作。

拨动SW1到高电平可以将电子秒表置零,如下图。

把SW2拨到低电平再拨到高电平即可实现“暂停”,重复此操作即可继续计数。

4.心得体会

在此次课程设计中,我进一步了解并熟悉了数字电子器件的使用。同时在设计过程中也遇到了不少困难,比如50000分频电路中VHDL语言的编写与应用,对于VHDL我很是陌生,还好有同学的帮助,是我克服了这个困难。所以我认为要想做好这个课程设计,就必须认认真真地去做,不要怕麻烦。而且本次课程设计巩固和加深了我对电子线路基本知识和理解,提高了综合运用所学知识的能力。增强了根据课程需要选学参考资料,查阅手册,图表和文献资料的自学能力。通过独立思考,深入研究有关问题,学会自己分析解决问题的方法。本次的课程设计对我来说,是第一次自己运用所学的知识,理论联系实际,动手去做东西,对我来说,这将是一次宝贵的经历。

5.参考文献

[1]何伟 《现代数字系统实验及设计》 重庆大学出版社 2010 [2]阎石 《数字电子技术基础》 清华大学出版社 2006

第二篇:数字电路课程设计

一、设计报告书的要求: 1.封面

2.课程设计任务书(题目,设计要求,技术指标等)

3.前言(发展现状、课程设计的意义、设计课题的作用等方面)。3.目录

4.课题设计(⑴ 写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。

⑵ 画出框图中的各部分电路,对各部分电路的工作原理应作出说明。⑶ 画出整个设计电路的原理电路图,并简要地说明电路的工作原理。⑷ 用protel画原理电路图。

(5)用Multisim或者Proteus画仿真图。

5.总图。

6.课题小结(设计的心得和调试的结果)。7.参考文献。

二、评分依据:

①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原理说明是否基本正确,⑤报告是否清晰,⑥答辩过程中回答问题是否基本正确。

三、题目选择:(三人一组,自由组合)(设计要求,技术指标自己选择)

1、基于DC4011水箱水位自动控制器的设计与实现

水箱水位自动控制器,电路采用CD4011四与非门作为处理芯片。要求能够实现如下功能:水箱中的水位低于预定的水位时,自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水,始终保持水箱中有一定的水,既不会干,也不会溢,非常的实用而且方便。

2、基于CD4011声控、光控延时开关的设计与实现

要求电路以CD4011作为中心元件,结合外围电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态,当有人经过该开关附近时,脚步声、说话声、拍手声等都能开启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭,灯灭。

3、基于CD4011红外感应开关的设计与实现

在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。

4、基于CD4011红外线对射报警器的设计与实现

设计一款利用红外线进行布防的防盗报警系统,利用多谐振荡器作为红外线发射器的驱动电路,驱动红外发射管,向布防区内发射红外线,接收端利用专用的红外线接收器件对发射的红外线信号进行接收,经放大电路进行信号放大及整形,以CD4011作为逻辑处理器,控制报警电路及复位电路,电路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。

5、基于CD4069无线音乐门铃的设计与实现

音乐门铃已为人们所熟知,在一些住宅楼中都装有音乐门铃,当有客人来访时,只要按下门铃按钮,就会发出“叮咚”的声音或是播放一首乐曲,然而在一些已装修好的室内,若是装上有线门铃,由于必须布线,从而破坏装修,让人感到非常麻烦。采用CD4069设计一款无线音乐门铃,发射按键与接收机间采用了无线方式传输信息。

6、基于时基电路555“叮咚”门铃的设计与实现

用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够发出音色比较动听的“叮咚”声。

7、基于CD4511数显八路抢答器的设计与实现

CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编码,优先,锁存,数显和复位。

8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通过调节电位器旋钮,可调整彩灯的流动速度。

9、水位指示的设计与实现

电路的功能是检测容器内的水位。把探头分别装在容器的底部、中部和顶部。通过3根导线与电路板连接,而3个LED分别代表不同的水位。

10、基于数字电路双向炫彩流水灯的设计与实现

电路由无稳态多谢振荡器、可逆计数器、三八线译码器和发光二极管组成;实现流水灯正反向循环旋转。

11、基于数字电路六位数字钟的设计与实现

设计一款纯数字电路打造的6位数字时钟。数字钟是采用数字电路对“时”、“分”、“秒”数字显示的计时装置。

12、八路声光报警器的设计与实现

八路声光报警器中八位优先编码器CD4532将输入D0~D7的八路开关量译成三位BCD码,经BCD锁存/七段译码/驱动器CD4511译码,驱动共阴极数码管显示警报电路0—7,路输入开关中的任一路开路,显示器即显示该路号,发出数码光报警;同时优先编码器CD4532的GS段输出高电平,使开关三极管饱和导通,启动声报警电路工作。声报警电路由时基集成电路NE555和六反相器CD4069组成。

13、基于CD4060梦幻灯的设计与实现(基于proteus仿真)

设计一款电路,使其具有多种美丽的声光效果,三种颜色的LED随机组合,五彩斑斓,配有生日快乐音乐芯片,闪光的同时有生日快乐音乐播放,蜂鸣器发生,音乐芯片直接可以装到电路板上,备有电源开关,方便控制,可以外接交流电源或电池。可作生日礼物相送。

14、变音警笛电路的设计与实现 设计一款电路,该电路采用两片NE555时基集成电路构成的变音警笛电路,能发出“呜-哇-呜-哇”的警笛声。

15、魔幻LED摇摇棒的设计与制作

“摇摇棒”是一种利用我们的“视觉暂留效应”工作的高科技电子玩具。接通电源后,它上面的一列LED(发光二极管)不停地闪烁,当你摇动它时,会看见空中梦幻般的浮现一个个笑脸、爱心等图案或者文字。“摇摇棒”使用了一块单片机。通过编写程序,在它内部存储若干幅图形和文字,用一只轻触按钮来选择要显示的内容,并且使用一只动作传感器开关来确保显示正常。

16、基于数字电路NE555、CD4017LED骰子的设计与实现

由555组成的多谐振荡器和CD4017十进制计数器/脉冲分配器构成。7个发光二极管模拟骰子的点数,当按下按钮1秒以上,骰子上的发光二极管高速循环点亮,之后循环速度越来越慢并最终随机停止于某个点上。

17、数显计数器的设计与实现

不需要编程的计数器模块,有3个数码管显示,使用14553和14511芯片进行控制驱动。

18、基于555简易催眠器的设计与制作

时基电路555构成一个极低频振荡器,输出一个个短的脉冲,使扬声器发出类似雨滴的声音

19、基于数字电路电动机转速表的设计与实现

在电动机转动时,人眼无法统计电动机单位时间转转的圈数,即使电动机每秒钟只转动几圈,我们也无法准确的数数来得到电动机每分钟的转动圈数。设计一款电动机转速表来计数,最大可以显示999,如果需要显示更大的数字,还可以自行增加CD40110和数码管,每增加一级,计数可增大10倍再加上9。

20、基于CD4011路灯开关模拟电路的设计与实现

从节约用电的角度出发,路灯开关在每天傍晚时全部灯亮,后半夜行人稀少,路灯关掉一半,第二天清早路灯全部关闭。

21、数字秒表的设计与实现

单稳态触发器,时针发生器及计时器,译码显示单元电路的应用

22、基于CD4011声光控带灯头开关的设计与实现

开关选用CD4011集成块为延时电路,选用1A单向可控硅以及性能稳定的光敏电阻和优质的驻极体组成的声光控动作电路

23、基于数字电路两位计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.24、数字频率计的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

25、基于数字电路自动温控报警电路的设计与实现

现实生活中,常常需要进行温度控制。当温度超出某一规定的上限值时,需要立即切断电源并报警。待恢复正常后设备继续运行。设计一款温度控制电路,电路采用LM324作比较器,NE555作振荡器,十进制计数/译码器CD4017以及锁存/译码/驱动电路CD4511作译码显示达到上述要求。

26、基于数字电路两位自动计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.数字上升速度快慢由NE555振荡频率决定.S1为计数清零按键.NE555构成时钟信号发生器,CD4518为二/十进制加法计数器,CD4511为译码驱动器,调节R17可调节NE555的振荡频率.C1为充放电电容,电容容量愈大,充电时间愈长,则振荡频率愈低。

27、基于数字电路数字显示频率计电路的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

28、基于CD4017流水灯的设计与实现

CD4017流水灯由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

29、基于CD4017六路回闪灯的设计与实现 电路通电后,六个发光管先依次点亮,再全部熄灭,然后反方向依次点亮,完成一个循环,接着进行下一个循环。电路由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

30、基于CD4017摩托车闪灯的设计与实现

电路由多谐振荡电路和CD4017构成,实现三组发光管循环显示。

31、基于CD401712路回闪灯的设计与实现

电路由555组成的多谐振荡器和CD4017十进制计数/译码电路构成。

32、基于CD4518/4511数字钟的设计与实现

第三篇:电子秒表设计文献综述

电子秒表设计文献综述

前言:古代人为了测定昼夜之别,发明了日晷、水钟及其他早起计时工具,到了13世纪,由于需要更可靠的计时工具,中世纪的工匠发明了机械钟,虽然已可满足都市生活的需求,但对于科学应用来说,还是不够精确。随着数字电路的发展,计时工具的精度越来越高,对日常生活及科学都产生较大影响,研究电子秒表不仅是了解电子秒表的工作原理,关键是对各种门电路功能的理解,对研究和设计大型数字电路有着深远的意义。

主题:运用TTL 系列逻辑门及时序逻辑芯片实现最小单位0.1秒的计时秒表。通过555定时器及电阻、电容组成秒信号发生器为由74LS192、74LS08、74LS02集成组成计时控制电路提供时钟信号。然后用74LS47作为译码驱动加到数码管显示。具体要求如下: 电子秒表电路可现实6位数,计时范围为0—9小时,精度为0.1秒 电子秒表能实现三种功能:计数、保持、清零

独立组装、调试电路,分析计数器的逻辑功能和特点

数字逻辑电路主要研究电路输出量与输入量间的逻辑关系, 按逻辑功能可分为组合逻辑电路和时序逻辑电路。数字逻辑电路研究分为两方面:逻辑分析和逻辑设计。前者所要完成的工作是, 通过分析找出电路的逻辑功能并用逻辑函数加以描述和评定。后者是根据给定的逻辑间题设计出最简的逻辑电路, 从研究的顺序看, 两者互为逆过程。555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1 的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。

逻辑函数是数字电路(一种开关电路)的特点及描述工具,输入、输出量是高、低电平,可以用二元常量(0,1)来表示,输入量和输出量之间的关系是一种逻辑上的因果关系。仿效普通函数的概念,数字电路可以用逻辑函数的的数学工具来描述。

真值表是列出命题公式真假值的表。通常以1表示真,0 表示假。命题公式的取值由组成命题公式的命题变元的取值和命题联结词决定,命题联结词的真值表给出了真假值的算法。真值表是在逻辑中使用的一类数学表,用来确定一个表达式是否为真或有效。卡诺图是逻辑函数的一种图形表示。一个逻辑函数的卡诺图就是将此函数的最小项表达式中的各最小项相应地填入一个方格图内,此方格图称为卡诺图。卡诺图的构造特点使卡诺图具有一个重要性质:可以从图形上直观地找出相邻最小项。两个相邻最小项可以合并为一个与项并消去一个变量。卡诺图用相邻项“循环邻接”的方法描述输出函数与输入变量取值组合间关系的方格图。主要用于逻辑函数的化简。

逻辑图用符号图表示输出与输入间的逻辑关系。它是数字逻辑电路通用的电路表达形式, 既是逻辑分析对象, 也是逻辑设计结果。

在组合电路逻辑设计中, 问题往往是以文字表达的方式提出, 而将这一问题归结为一个逻辑问题则要利用真值表,再由真值表得到问题的逻辑函数式, 然后通过卡诺图化简, 最后得到设计结果—逻辑图。正是这些逻辑功能的描述方法构成了组合电路逻辑设计的基本方法, 由此可见逻辑功能描述在数字逻辑电路研究讨论中的重要性。熟悉逻辑功能描述是关键, 掌握分析方法是重点。逻辑分析与设计是以逻辑功能描述为基础, 只有掌握了逻辑抽象和逻辑功能描述要领, 才能掌握逻辑分析与设计的方法, 才能抓住关键、重点和本质, 收到事半功倍的学习效果。主要参考资料:

[1] 阎石.数字电子技术基础第四版[M].北京:高等教育出版社,1998 [2]康华光.电子技术基础数字部分.北京:高等教育出版社,1999 [3]余孟尝.数字电子技术基础简明教程第三版.北京:高等教育出版社,2006 [4]刘修文.实用电子电路设计制作.淮安:电子信息工程系,2008,69 [5] Ashkin A.Forces of a single-beam gradient laser trap on a dielectric sphere in the ray regime[J].Biophysical Journal,1992,61:569-582.[6]阎石.数字电子电路[M].北京:中央电大出版社,1993 [7]吴友宇.数字电子技术基础(第1版).北京:清华大学出版社,2009.5 [8]康华光.数字电子技术基础.武汉:高等教育出版社,2006.1. [9] 王永军,李景华.数字逻辑与数字系统[M](2002年版).北京:电子工业出版社,2002,57~78.[10] 白中英.数字逻辑与数字系统[M].(2002年版).北京:科学出版社,2002,78~89.[11]Desdevises Y.Morand S Oliver G Linking specialization to diversification in the Diplectanidae Bychowsky [J].Springer-Verlag,2001,87:223-230.

第四篇:电子秒表设计任务书

单片机课程设计任务书

一、基本情况

学时:2周 学分:2学分

适应班级:09自本1、2

二、课程设计的意义、性质、目标、要求

1.意义

课程设计是单片机课程教学的最后一个环节,是对学生进行全面的系统的训练。进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步学习的热情,因此课程设计是必不少的,是非常必要的。2.性质

课程设计是提高学生单片机技术应用能力以及文字总结能力的综合训练环节,是配合单片机课程内容掌握、应用得的专门性实践类课程。3.目标

通过典型实际问题的实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。4.要求

(1)课程设计的基本要求

单片机课程设计的主要内容包括:理论设计与撰写设计报告等。其中理论设计又包括选择总体方案,硬件系统设计、软件系统设计;硬件设计包括单元电路,选择元器件及计算参数等;软件设计包括模块化层次结构图,程序流程图。程序设计是课程设计的关键环节,通过进一步完善程序设计,使之达到课题所要求的指标。课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。(2)课程设计的教学要求

单片机课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间(两周)累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。

课程设计的任务相对分散,每3—5名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人的设计方法和经验。但每个学生必须单独完成设计任务,要有完整的设计资料,独立撰写设计报告,设计报告雷同率超过50%的课程设计考核按不及格处理。

三、课程设计题目及设计过程

(一)基于单片机的电子秒表设计

运用单片机C语言的相关知识,设计出能够实现清零、暂停、计时功能的电子秒表。

(二)设计过程

1、设计要求

本系统利用单片机的定时器/计数器定时和记数的原理,通过采用proteus仿真软件来模拟实现。模拟利用AT89C51单片机、LED数码管以及控件来控制秒表的计数以及计数的开启/暂停/继续与复位!

其中有两个数码管用来显示数据,一个数码管显示秒(两位),另一个数码管显示十分之一秒,十分之一秒的数码管计数从0~9,满十进一后显示秒的数码管的数字加一,并且十分之一秒显示清零重新从零计数。计秒数码管采用两位的数码管,当计数超过范围是所有数码管全部清零重新计数。

2、硬件设计

硬件设计的要求:

(1)确定元器件的型号及参数。(2)画出硬件设计的结构框图。

(3)画出各部分电路的原理图,并说明各部分电路的工作原理设计依据;画出完整的原理图。

(4)列出元器件清单。

3、软件设计

根据设计要点,软件设计首先应对系统资源进行进行分配和说明。为了增加程序的可读性,理清程序的编写思路,建议程序采用模块化结构。

软件设计的要求:

(1)画出整个控制系统的程序流程图。

(2)画出各功能部分的程序流程图,并能够编写相应的原程序。

四、设计报告

设计完成后,必须撰写课程设计报告。设计报告必须独立完成,格式符合要求,文字(不含图形、程序)不少于3000字,图形绘制规范报告书用A4纸书写,装订成册。设计报告的格式如下:

1、封面

2、内容提要(摘要)

3、目录

4、正文

(1)所作题目的意义、本人所做的工作及系统的主要功能;

(2)硬件电路设计及描述;

1)确定元器件的型号及参数。2)画出完整的原理图。3)列出元器件清单。

(3)软件设计流程及描述;

5、心得体会(总结)

6、参考文献

7、附录(源程序代码)

8、有关图纸

五、进度安排

单片机课程设计共安排2周,合计80学时,具体分配如下: 实习动员及准备工作:

2学时 总体方案设计:

10学时 硬件设计:

16学时 软件设计:

20学时 撰写设计报告:

12学时 答辩与总结:

8学时 教师辅导:

12学时

第五篇:单片机课程设计秒表系统设计

单片机课程设计

学院:信息工程专业:

——秒表系统设计

一,设计目的:

1,熟悉51单片机的内部结构,计数器,中断控制器等的用法,来实现简单的控制应用系统。

2,通过简单系统的设计了解单片机应用系统的设计与开发过程及其相应的调试程序过程。

二,设计任务:

实验通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统,拥有正确的计时、暂停、清零、快加功能,并同时可以用数码管显示,在现实生活中应用广泛,具有现实意义。

三,设计题目:

秒表系统设计——用AT89C51设计一个2位LED数码显示“秒表”,显示时间为00~99秒,每秒自动加一。另设计一个“开始”按键和一个“复位”按键。调用子程序:暂停键子程序,计时键子程序,清0键子程序,加一子程序,显示子程序,定时子程序,所用特殊寄存器:寄存器A,寄存器C,所用中断:外部中断INT0、INT1,定时器T0、T1

四,设计的硬件接线图:

五,设计思路及描述

要求进行计时并在数码管上显示时间,则可利用DVCC系列单片机微机仿真实验系统中的芯片8032(芯片的功能类似于芯片AT89C51,其管脚功能也和AT89C51的管脚功能类似)中的P3.2管脚做为外部中断0的入口地址,并实现“开始”按键的功能;将P3.3做为外部中断1的入口地址,并实现“清零”按键的功能;将P3.0做为数据信号DATA输入的入口地址;将P3.1做为时钟信号CLK输入的入口地址。定时器T0作为每秒加一的定时器;定时器T1作为“快加”键的定时器。其中“开始”按键当开关由1拨向0(由上向下拨)时开始计时;“清零”按键当开关由1拨向0(由上向下拨)时数码管清零,此时若再拨“开始”按键则又可重新开始计时。

六,流程图

七,程序 源程序: ORG

0000H AJMP

MIAN;主程序入口地址 ORG

0003H

AJMP

ZHONGDUAN0;中断0入口地址

ORG

000BH

AJMP YANSHI;定时器T0入口地址

ORG

0013H

AJMP

ZHONGDUAN1;中断1入口地址

ORG

001BH

AJMP

DINGSHI1;定时器T1入口地址

ORG

0030H

;主程序

;***********************************************************

MAIN: MOV

TCON,#05H;主程序开始 外部中断跳变模式

MOV

TMOD,#11H;定时器0,1模式1 MOV

IE,#8FH;开总中断,中断0,1,定时器0,1 MOV

DPTR,#TAB MOV

R1,#00H MOV

R2,#00h MOV

R3,#40;循环次数40 MOV

TL0#2CH;置初值,定时25MS

MOV

TH0,#0CFH

MOV

TL1#78H;置初值,定时10MS

MOV

TH1,#0ECH CLR TR0;关定时器

CLR

TR1;***********************************************************;暂停键K3,快加键K4程序

;*********************************************************** HERE:JB P1.0,HERE SHOW:

CLR

TR1 CLR

TR0 ACALL

XIANSHI KUAIJIA

:JB P1.2,KUAIJIA;等待P1.2为0 快加 CLR

TR0 SETB

TR1

HERE 3JNB

P1.2,HERE 3 AJMP

HERE;***********************************************************;外部中断INT0子程序-----计时按键K1子程序

;***********************************************************

ZHONGDUAN 0:

SETB TR0;计时按键 RETI;***********************************************************;外部中断INT1子程序----复位按键K2子程序

;***********************************************************

ZHONGDUAN 1: CLR TR0;复位按键

CLR

TR1

MOV

12H,#00H

MOV

11H,#00H ACALL

XIANSHI;调用显示子程序

MOV

R1,#00H

MOV

R2,#00H RETI;***********************************************************;加一子程序

;***********************************************************

JIA1:

INC

R1;加1子程序

CJNE R1,#0AH ,LOOP;判断是否到表尾

MOV

R1,#00H INC

R2

CJNE

R2,#0AH,LOOP

MOV

R2,#00H

LOOP: MOV

12H,R1;重新赋值

MOV

11H,R2

RET;***********************************************************;显示子程序

;*********************************************************** XIANSHI: MOV R7,#02H;2个数码管显示子程序 MOV R0,#12H LOOP5: MOV R6,#08H;8位2进制数 MOV A,@R0 MOVC A,@A+DPTR LOOP6: RLC A;循环左移 CLR P3.1 MOV P3.0,c SETB P3.1 DJNZ R6,LOOP6 DEC R0 DJNZ R7,LOOP5 RET;***********************************************************;定时器T0子程序;*********************************************************** YANSHI: MOV Tl0,#2CH;定时子程序 MOV TH0,#0CFH DJNZ R3,LOOP7 ACALL JIA1;调用加1子程序 ACALL XIANSHI;调用显示子程序 MOV R3,#40 LOOP7: RETI;***********************************************************;定时器T1子程序

;*********************************************************** DINGSHI1:MOV Tl1,#78H;置初值,定时10MS MOV TH1,#0ECH CLR TR0 SETB TR1 MOV 12H ,R1 MOV 11H,R2 JNB p1.0,SHOW ACALL JIA1 ACALL XIANSHI LOP7:TETI;*********************************************************** TAB:DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH END

八,内容提要

利用单片机的定时器/计数器定时和记数的原理,结合dvcc实验箱上的集成电路芯片8032、LED数码管以及实验箱上的按键来设计计时器。将软、硬件有机地结合起来,使得系统能够正确地进行计时,数码管能够正确地显示时间。其中本设计了四个开关按键:其中一个按键按下去时以1秒加一开始计时,即秒表开始键(本实验中当开关从1变为0时开始计时),另一个按键按下去时暂停计时,使秒表停留在原先的计时(本实验中当相应开关从1变为0时即停止计时),第三个按键按下去时清0(本实验中当相应开关从1变为0时即停止计时),第四按键按下去则是以每10ms秒快速加一计时(本实验中当开关从1变为0时开始计时)。本设计中开始时都要使各按键回到各初始位置,即都处于1状态。

九,课程设计心得体会

选择适当的课题,不益太简单或者太难。做到既能把课题完成又能锻炼自己的能力!根据课题要求,复习相关的知识,查询相关的资料。根据实验条件,找到适合的方案,找到需要的元器件及工具,准备实验。根据课程设计的要求和自己所要增加的功能写好程序流程图,在程序流程图的基础上,根据芯片的功能写出相应的程序。然后再进行程序调试和相应的修改,以达到能够实现所要求的功能的目的。还要根据实验的实际情况,添加些额外程序来使系统更加的稳定,如开关的消震荡(采用延迟)。程序要尽量做到由各个子程序组成,在有些程序后面最好加注释,这样在程序出错的检查过程中可以更容易查找的到,也更简洁,更明白易懂。该设计的程序可以参考DVCC系列单片机微机仿真实验系统实验指导书中的串并转换实验,也可自己根据自己熟悉的方法来编程。在设计控制开关时,注意2个中断的打开和关闭的先后顺序,否则就会出错。这次的单片机课程设计重点是理论与实际的相结合。不再只读书了。该设计从头到尾都要自己参与,熟悉了对整个设计的过程,更系统的锻炼了自己。

十、参考文献

苏家健等编的《单片机原理及应用技术》 高等教育出版社 2004年11月 余锡存等,《单片机原理及接口技术》 西安电子科技大学出版社 2004

孙涵芳等 《单片机原理及应用》 北京航空航天大学出版社 1990

吴金戌等 《8051电片机的实践与应用》 清华大学出版社

下载数字电路课程设计-电子秒表的设计word格式文档
下载数字电路课程设计-电子秒表的设计.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    校验码设计-数字电路课程设计报告

    Firesuiry XXXXXXXXXXXXX 数电设计报告校验码设计 课程设计报告 数字电路设计 设计项目:校验码设计 姓名:Firesuiry 学号:XXXXXXXXXXXXX 指导老师:谢小东 设计日期:2017年6月......

    数字电路课程设计 数字钟

    摘 要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、......

    数字电路课程设计(5篇)

    数字电路课程设计要求: 1. 结合所学知识设计一简单实用电路(建议选多功能数字钟),并在实验室里完成实物电路的连接调试。 2. 每人独立完成一篇课程论文,论文至少2000字,可手写,也可......

    数字电路课程设计题目

    数字电路课程设计题目 题目1 数字式频率计 任务: 设计一个数字式频率计。 基本要求: 1.被测信号为TTL脉冲信号。 2.显示的频率范围为0—99Hz。 3.测量精度为±1Hz。 4.用LED数码管......

    数字电路课程设计(推荐五篇)

    分类号 UDC 单位代码10644 密 级 公 开 学 号课程设计 (题目) 课程名称:数字电子技术基础 作者:指导教师:易鸿系别:物理与工程技术系 专业:电子科学与技术 提交论文日期:年 月日论文......

    数字电路课程设计(红绿灯)

    数字电路课程设计(一) ——红绿灯设计方案总结报告 指导教师: 设计人员:班级:电信081 日期:2010.4.13 一、 设计任务书 1、题目:红绿灯控制器 2、设计要求:设计一个红绿灯控制器......

    数字电路课程设计——数字钟

    四川工业科技学院 电子信息工程学院课程设计 专业名称:电子信息工程 课程名称:数字电路课程设计 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月......

    数字电路课程设计教学大纲

    数字电路课程设计 一、 目的与任务 数字电路课程设计是数字电子技术课程重要的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一个或两......