河南科技大学出租车计费系统课程设计(共5则)

时间:2019-05-14 21:55:33下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《河南科技大学出租车计费系统课程设计》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《河南科技大学出租车计费系统课程设计》。

第一篇:河南科技大学出租车计费系统课程设计

河南科技大学

课 程 设 计 说 明 书

课程名称

电子设计自动化课程设计

题 目

出租车计费系统设计

学 院 __ 电信学院_ _ 班 级 __ 电信科083班_ 学生姓名 __ 陈真淮_ 指导教师 __ 张雷鸣、王勇 日 期

__ 2010年9月20日 _

课程设计任务书

课程设计名称 电子设计自动化课程设计 学生姓名 陈真淮 专业班级 电信科083设计题目

出租车计费系统设计

一、课程设计目的

1、综合运用EDA技术,独立完成一个课题的设计,考察运用所学知识,解决实际问题的能力;

2、结合理论知识,考察阅读参考资料、文献、手册的能力;

3、进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实现方法和应用技巧;

4、锻炼撰写研究报告、研究论文的能力;

5、通过本实践环节,培养科学和严谨的工作作风。

二、设计内容、技术条件和要求

1、能够实现计费功能:

费用的计算是按行驶里程收费,设出租车的起价为5.00元,当里程小于3km时,按起价计算收费;当里程大于3km时每公里按1.3元计费。等待累计时间超过2min,按每分钟1.5元计费。所以总费用按下式计算:

总费用=起价费+(里程-3km)×里程单价+等候时间×等候单价

2、能够实现显示功能:

① 显示汽车行驶里程:用四位数字显示,显示方式为“XXXX”,单位为km。计程范围为0~99km,计程分辨率为1km。

② 显示等候时间:用两位数字显示分钟,显示方式为“XX”。计时范围为0~59min,计时分辨率为1min。

③ 显示总费用:用四位数字显示,显示方式为“XXX.X”,单位为元。计价范围为999.9元,计价分辨率为0.1元。

三、时间进度安排

1周:(1)完成设计准备,确定实施方案;(2)完成电路文件的输入和编译;(3)完成功能仿真。2周:((1)完成文件至器件的下载,并进行硬件验证;(2)撰写设计说明书。

四、主要参考文献

(1)谭会生、瞿遂春,《EDA技术综合应用实例与分析》,西安电子科技大学出版社,2004(2)曹昕燕、周凤臣等,《EDA技术实验与课程设计》,清华大学出版社,2006

指导教师签字:

2010年9月5日

目录

一、设计任务与要求………………………………………………………3

二、设计背景………………………………………………………………3

三、系统设计方案…………………………………………………………4

1.3元计费。等待累计时间超过2min,按每分钟1.5元计费。所以总费用按下式计算:

总费用=起价费+(里程-3km)×里程单价+等候时间×等候单价

(2)、能够实现显示功能

① 显示汽车行驶里程:用四位数字显示,显示方式为“XXXX”,单价为km。计程范围为0~99km,计程分辨率为1km。② 显示等候时间:用两位数字显示分钟,显示方式为“XX”。计时范围为0~59min,计时分辨率为1min。③ 显示总费用:用四位数字显示,显示方式为“XXX.X”,单位为元。计价范围为999.9元,计价分辨率为0.1元。

二、设计背景

近年来,我国出租汽车行业迅猛发展,出租汽车已成为我国城市公共交通的重要组成部分和现代化城市必备的基础设施,成为人们工作、生活中不可缺少的交通工具。它对繁荣经济、促进发展、方便群众、改善交通起到了积极作用。出租汽车对我国人民物质和文化生活影响之大、作用之广是前所未有的。出租汽车行业的服务水平和程度已经成为现代化的重要标志。

出租汽车服务行业和出租汽车计价器紧密相关,因为出租汽车必须安装出租汽车计价器才能投入营运。出租汽车计价器是一种能根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并直接显示车费值的计量器具。计价器是出租汽车的经营者和乘坐出租汽车的消费者之间用于公平贸易结算的工具,因而计价器量值准确与否,直接关系到经营者和消费者的经济利益。随着出租车行业的发展,对出租车计费器的要求也越来越高,用户不仅要求计费器性能稳定,计费准确,有防作弊功能;同时还要求其具有车票资料打印、IC卡付费、语音报话、和电脑串行通信等功能,而这些与电子技术的发展是分不开的。

本次课程设计即是应用EDA技术来实现出租车计费系统设计和验证。EDA技术(即Electronic Design Automation技术)就是依赖强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、系统有两个脉冲输入信号clk_195、fin,两个控制输入开关start、stop。整个系统的控制过程为:start作为计费开始开关,当start为高电平时,系统开始根据输入的情况计费。当有乘客上车并开始行驶时,fin脉冲到来,进行行驶计费,此时的stop需要置为0;如需停车等待,就把stop变为高电平,并去除fin输入脉冲,进行等待计费;当乘客下车且不等待时,直接将start置为0,系统停止工作。

系统由分频模块、计量模块、计费模块和控制模块四部分组成。计量模块是整个系统实现里程计数和时间计数的重要部分;控制模块是实现不同计费方式的选择部分;设计通过分频模块产生不同频率的脉冲信号来实现系统的计费。计量模块采用1HZ的驱动信号,计费模块采用13HZ、15HZ的驱动信号;计量模块每计数一次,计费模块就实现13次或者15次计数,即为实现计时时的1.5 元/min、计程时的1.3元/km的收费。

3.2 系统的总体框图

由Quartus仿真得系统总体顶层框图为:

四、模块设计及仿真

4.1 分频模块

分频模块是对系统时钟频率进行分频,分别得到15HZ,13HZ,1HZ三种频率;本设计中通过这三种不同频率的脉冲信号实现在计程车行驶、等待两种情况下的不同计费。而系统时钟频率CLK=15*13Hz=195Hz。在接入实际硬件电路时,根据系统时钟频率选用的不同,会等比例地得到不同分频频率。

其原理框图如下:

控制模块的仿真波形图为:

由图中可以看出,当ent0为高电平时,输出为这一时段的clk_in1;当ent1为高电平时,输出为这一时段的clk_in2;

4.3 计量模块

本模块实现对于出租车在行驶和等待过程中的使能和计时功能。当行驶里程大于3KM时,本模块中en0信号变为1;当等待时间大于2min时,本模块中en1信号变为1;clk1每来一个上升沿,计量模块实现一次计数,里程数或者等待时间加1。

其原理框图为:

计量模块的仿真波形图为:

步价为5元。

4.5 顶层例化模块

本模块用例化语句实现出租车的计费器系统设计,将各个模块组合在一起。

系统模块的仿真波形图为:

由图中可以看出,当fin脉冲信号到来时,实现了行驶计费,当行驶里程超过三公里,则再按每公里1.3元计费;当stop为高电平,即进入等待计时收费;当等待时间超过2min时,每过一分钟加1.5元,即为实现每等一分钟收费1.5元。

五、硬件验证

5.1 实验过程

EDA课程设计中对于各个模块的设计是基础,首先要分析清楚各个模块的功能,然后分别进行编程设计、验证,然后使用VHDL语言中的元件例化语句,将各个模块组合到一起,实现总的设计功能。在出租车计费系统的4个模块中,重点在于计量模块,计费模块。计量模块主要有汽车的启动、停止、暂停等按键;各模块完成后,在将它们组合成完整的出租车系统。

本次设计的首要是如何实现等待计时和行驶里程的不同计费。对于里程和等待时间的计数都使用的是计数器的原理,通过fin和stop信号的不同值实现在不同情况下的计数;通过分频产生的13HZ、15HZ、1HZ对应的周期频率关系,由1HZ的信号控制计量模块,由控制器选择产生的信号作为计费模块的输入信号,所以计费模块的输入信号是13HZ、15HZ的信号之一,计量模块每完成一次计数,计费模块完成13次或者

为5+(89 —3)*1.3=116.8元;等待三分钟时,收费为118.3元。

硬件仿真图如下:

六、总结与心得

不经意间,为期两周的课程设计已经接近尾声。这次课程设计是设计一个出租车计费器系统,实现行驶计费功能、等待计费功能、预置功能等。在设计过程中同时用到了多进程多模块例化等设计方法。在设计中遇到了很多问题,比如在硬件实现过程中,当进行等待计时计费时,发现计时很慢,初步分析是因为计时的驱动频率不大,需要选择256HZ以上的频率;更改以后,计时正常,但同频率下行驶计费速度较快,不便观察,因此要注意观察的点和频率的选择。另外在设计过程中我发现控制系统的纠错功能还需要进行改进,而迫于时间和精力的限制我只查阅了相关的资料,了解设计原理,而没有设计出来。但总体来说,这次

中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

课程设计是我们专业课程知识综合应用的实践训练,着是我们迈向社会,从事职业工作前一个必不少的过程.我今天认真的进行课程设计,学会脚踏实地迈开这一步,就是为明天能稳健地在社会大潮中奔跑打下坚实的基础。通过这次设计,本人在多方面都有所提高。同时各科相关的课程都有了全面的复习,独立思考的能力也有了提高。在这次设计过程中,体现出自己单独设计模具的能力以及综合运用知识的能力,体会了学以致用、突出自己劳动成果的喜悦心情,从中发现自己平时学习的不足和薄弱环节,从而加以弥补。

最后感谢我们的王老师和张老师。两位老师严谨细致、一丝不苟的作风一直是我工作、学习中的榜样;老师循循善诱的教导和不拘一格的思路给予我无尽的启迪;这次设计的每个细节和每个数据,都离不开老师的细心指导。而您们开朗的个性和宽容的态度,帮助我能够很顺利的完成了这次课程设计。在此我要对两位老师在这次课设中的给予的悉心指导表示深深的谢意!同时感谢帮助过我的同学们,谢谢你们对我的帮助和支持,让我感受到同学的友谊

七、参考文献

[1] 潘松、黄继业.《EDA技术实用教程》[M].北京:科学出版社,2005 [2] 谭会生、瞿遂春.《EDA技术综合应用实例与分析》[M].西安:西安电子科技大学出版社,2004 [3] 亿特科技.《CPLD/FPGA应用系统设计与产品开发》[M].北京:人民邮电出版社,2005 [4] 曹昕燕、周凤臣.《EDA技术实验与课程设计》.清华大学出版社,2006 [5] 王勇.《电子设计自动化实验指导书》.河南南科技大学电工电子实验教学中心,2009

port(clk2:in std_logic;

start: in std_logic;

c0,c1,c2,c3: buffer std_logic_vector(3 downto 0));end jifei;

architecture rt3 of jifei is begin

process(clk2,start)begin

if start='0' then c3<=“0000”;c2<=“0000”;c1<=“0101”;c0<=“0000”;

elsif clk2'event and clk2='1' then

if c0=“1001” then c0<=“0000”;

if c1=“1001” then c1<=“0000”;

if c2=“1001” then c2<=“0000”;

if c3=“1001” then c3<=“0000”;

else c3<=c3+1;

end if;

else c2<=c2+1;

end if;

else c1<=c1+1;

end if;

else c0<=c0+1;

end if;

end if;

end process;end rt3;

计量模块VHDL源程序:

library ieee;

use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;entity jiliang is

port(s: in std_logic;

fin: in std_logic;

stop: in std_logic;

clk1: in std_logic;

en1,en0:buffer std_logic;

k1,k0: buffer std_logic_vector(3 downto 0);

m1,m0: buffer std_logic_vector(3 downto 0));

end jiliang;

architecture rt2 of jiliang is

signal w:integer range 0 to 59;

--秒计数器

begin

process(clk1)begin

if clk1'event and clk1='1' then

clk_out<=clk_in2;

end if;

end process;end rt4;

顶层例化模块VHDL源程序:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;entity kongzhi is

port(ent0,ent1:in std_logic;

clk_in1:in std_logic;

clk_in2:in std_logic;

clk_out:out std_logic);

end kongzhi;

architecture rt4 of kongzhi is begin

process(ent0,ent1)begin

if ent0='1' then

clk_out<=clk_in1;

elsif ent1='1' then

clk_out<=clk_in2;

end if;

end process;end rt4;

第二篇:课程设计--模拟电信计费系统

课程设计指导书

课题名称:模拟电信计费系统

设计目标:按照软件工程的规范,以SQL Server或Access为后台数据库,以Visual C++、Delphi等为前端开发工具,设计并实现一个能模拟电信计费过程的系统。需求描述:

本系统存放固定电话通话的源数据和费率数据。通话数据包括:主叫区号、主叫电话号码、被叫区号、被叫电话号码、通话开始时间、通话时长(秒)。如果主、被叫区号相同,则为本地通话;否则为长途通话。费率数据存放本地到外地的通话费率,包括:被叫区号和通话费率。

系统应实现以下功能:

(1)计费功能(对应专门的菜单):

根据存放在源通话数据中的通话记录和长途费率对每一条通话记录

计算其通话费用。其中:

通话费的计算方法如下:

通话费=长途电话费+本地电话费

长途电话费=费率(元/分钟)×通话时长(分钟)

(通话时长不满1分钟的按1分钟计算)

本地电话费为:3分钟以内0.5元,以后每3分钟0.2元。

(2)话费查询:按电话号码查询某月或某几个月该电话号码的所有本地话费、长途话费和总费用。

(3)话单查询:按电话号码查询某月或某几个月该用户的所有通话记录。结果形式:

提交课程设计报告、源程序和可演示的软件

课程设计报告要求:详见课程设计模板

课程设计参考思路:

(1)熟悉数据库和开发工具,掌握开发工具与本地数据库的连接方法。

(2)理解系统的信息需求,进行合理的数据库设计,建立各数据库表。

(3)理解系统的功能需求,设计应用软件。结合Delphi 或VC++进行系统界面

(窗体、菜单以及相应控制按钮)的设计、连接与操纵数据库方案的设计,编写程序。

(4)系统运行、调试并完善。

(5)撰写设计报告。

第三篇:河南科技大学

河南科技大学(Henan University of Science and Technology,简称:河科大)是首批卓越医生教育培养计划重点建设大学,第二批卓越工程师教育培养计划重点建设大学,是原中华人民共和国农业机械部和原中华人民共和国机械工业部直属的中央部属高校,是河南省重点支持建设的第三所综合性大学[1]。

河南科技大学坐落在千年帝都、牡丹花城、现代化工业城市——洛阳,截止2013年10月,学校有西苑、景华、周山、开元等四个校区,占地面积4600余亩,校 舍建筑面积151万平方米,其中专业实验室面积32.7万平方米。固定资产总值21.5亿元,教学科研仪器设备总值3.7亿元。图书馆建筑面积9.9万平方米,馆藏文献361.8万册,中外文期刊近2200多种,18万册。学校数字化校园建设和应用水平达到国内先进水平,荣获中国教育信息化建设优秀奖。体育场馆面积17万平方米。校内外实习基地200余个

第四篇:河南科技大学

河南科技大学 学校简介

河南科技大学位于素有“千年帝都、牡丹花城”之称的历史文化名城洛阳市,历史上曾有13个朝代在此建都,山水秀美,人杰地灵。

学校始建于1952年,是河南省内最早创建的工科院校之一,先后隶属于国家农业机械部、国家机械工业部、河南省领导。经过56年的发展,学校汇聚了一支高水平的师资队伍,积累了丰富的教学资源,已形成了完善的以全日制本科教育、研究生教育为主的多学科、多层次办学格局。学校是“河南公众最满意的十佳本科院校”,在全国拥有良好声誉,在中原地区具有重要影响,是河南省重点建设的三所综合性大学之一。

学科门类齐全学校现设22个学院、1个体育教学部,现有69个本科专业,66个硕士学位授权点,涵盖理学、工学、农学、医学、经济学、管理学、文学、法学、历史学、教育学等10大学科门类,是教育部授权的联合培养博士研究生单位。目前全日制在校研究生、本科生、留学生3万余人。

师资力量雄厚学校现有专任教师1732人,其中具有教授、副教授等高级专业技术职务667人,占专任教师总数38.51%;具有博士学位333人,硕士学位788人,博士、硕士学位教师占专任教师总数64.72%;有百千万人才工程国家级人选、国家有突出贡献中青年专家、享受国务院政府特殊津贴专家、省市级优秀专家、省市级学术技术带头人等高级人才200余人;有博士生导师21人,共享院士7人,省级特聘教授7人;有全国优秀教师、河南省教学名师、河南省高校创新人才、河南省青年骨干教师等69人。

科研基础扎实学校有15个省级重点学科,12个省级特聘教授设岗学科;有“摩擦学与材料防护教育部工程研究中心”、“河南省机械设计及传动系统重点实验室”、“河南省有色金属材料科学与加工技术重点实验室”、“河南省耐磨材料工程技术研究中心”、“河南省高等学校先进制造技术重点学科开放实验室”、“河南省显微外科研究所”等研究基地;有“机械原理及机械设计”和“电工电子”省级实验教学示范中心;有“中国齿轮教育培训中心”、“中国轴承陈列馆”、“河南省机械工业CAD培训中心”、“河南省制造业信息化技术服务中心”等国家及省级教学培训基地;有10个洛阳市重点实验室和5个洛阳市工程技术中心。《河南科技大学学报》自然科学、社会科学、医学三种版本面向国内外公开发行,自然科学版是中国科技核心期刊,荣获教育部“全国高校优秀科技期刊一等奖”,社科版是河南省一级期刊,被中国人文社科学报研究会评为“全国优秀社科学报”。

学校在锥齿轮研究方面保持着国际先进水平,研究成果曾先后荣获国家发明二等奖和国家科技进步三等奖;高性能铜合金引线框架技术、数控和机电一体化技术、轴承设计与摩擦学、耐磨材料及材料保护技术、车辆设计与内燃机技术、新型功能材料、牡丹快速繁殖技术等研究,处于国内先进水平;计算机信息处理与控制、肿瘤学、神经外科学、法医学、显微外科、农业收获机械与农副产品加工技术、旱作栽培与育种、预防兽医学、动物育种与繁殖等学科的研究,具有明显的特色。

近年来,学校获得国家“863”计划、“973”计划、国家自然科学基金和国家重大科技支撑计划等国家级研究项目43项,省、部、市级重大和重点研究项目572项,横向科研项目257项;获国家科技进步二等奖1项,教育部高校自然科学二等奖3项,省级科研成果奖42 项;发表学术论文3354篇,三大检索系统收录312篇;出版著作、教材339部;申报国家专利125项,授权专利52项。

办学条件优越学校现有西苑、景华、周山、开元四个校区,占地面积4316.56亩,校舍建筑面积78.70万平方米。图书馆建筑面积2.51万平方米,馆藏文献335.51万册,中外文期刊近2000种。学校数字化校园建设和应用水平处于全省领先地位,校园网主干带宽2.5G,数据存储容量近40T。体育场馆面积89039平方米,其中室内运动场馆10200平方米,另有与洛阳市共享并用于教学的体育中心场馆面积75086平方米。学校有工程训练中心、机动车驾驶员培训中心和三所附属医院等固定的校内外教学实习基地120多个,其中第一附属医院是省级综合性教学医院、三级甲等医院、全国百佳医院。

对外合作广泛学校目前已经与美国、英国、澳大利亚、日本、印度等国家的25所高校建立了友好合作关系,常年接受来自蒙古、德国、法国、日本、印度、韩国、澳大利亚等国家的留学生,并从在校生中选派优秀学生到美国、芬兰、法国、日本等国家学习和深造。2003年学校开始与英国赫特福德大学和东伦敦大学合作培养本科学生。

培养模式灵活学校本科教学实行学分制和弹性学制,学生可按照专业教学计划要求,自主选修课程,允许基本学制为4年的学生在3-6年内、基本学制为5年的学生在4-7年内完成学业。学校实行导师制和主辅修制,鼓励学生选修第二专业,毕业时同时颁发辅修专业证书。

校风严谨、管理科学学校在长期自强不息的奋斗历程中,践行“明德博学,日新笃行”的校训,形成了“敬业奉献,精益求精”的教风、“勤学慎思,尊师诚信”的学风和“和谐自强,严谨求实”的校风。学校在学生管理方面,采用辅导员制,坚持以学风建设为中心,加强学生的思想政治教育、日常行为管理和心理健康教育与咨询,同时开展丰富多彩的科技、文化、艺术、体育等校园文化活动,陶冶学生情操,拓展学生素质。

奖贷助学、体系完善学校健全了“奖、贷、助、补、减、缓、保”七位一体的学生解困助学体系。学校除按规定评选发放国家奖学金、国家助学金、省政府奖学金、省政府助学金外,还设立了综合奖学金、企业奖学金、优秀新生奖学金等。校内设有千余个勤工助学岗位,鼓励学生自助、自强。

毕业生面向全国就业,同期就业率位于全省普通高校前列我校毕业生面向全国就业,主要在科研院所、企事业单位、外资企业、部队等行业就业,尤其在京、津、沪、江、浙、粤、鲁等经济发达地区很受欢迎。

2008年面向全国30个省(市、区)招收普通本科生7300名学校本着“公平公正、择优录取”的原则,严格执行国家有关招生政策,实施“阳光工程”。我校是河南省唯一为中国人民解放军海军定向培养国防生的高校,2008年在北京、河南、河北、山东、山西、江西、安徽、江苏、四川、新疆十个省(市、区)共招收80名国防生,国防生入学后享受国防奖学金(目前标准:5000元/人/年),详细情况可电话咨询海军驻我校选培办(0379-64231830);同时我校机械设计制造及其自动化、车辆工程、电子信息工程、自动化、土木工程、信息管理与信息系统和材料成型及控制工程、国际经济与贸易8个专业继续在河南等省本科一批招生。另外,经批准我校医学类和农林类专业单独编码招生,报考农林类专业的河南考生可享受“农林院校第一志愿加20分投档政策”。

●热忱欢迎广大考生报考河南科技大学!

●咨询电话:0379-******35 ●E-mail:zhsb@mail.haust.edu.cn ●网址:http://www.xiexiebang.com

联系方式

址:河南省洛阳市涧西区西苑路48号 邮

编:471003 电

话:0379-64160736、64160726、64160730、64160735 传

真:0379-64270929 网

址:http://www.xiexiebang.com

第五篇:电话计费管理系统

数据库原理及应用Application and principles of database

数据库原理及应用实验报告

数据库设计及实现

(电话计费管理系统)

数据库原理及应用Application and principles of database

实验报告

实验类型__综合设计__实验室_软件实验室一__

电话计费管理系统

1.实验项目名称:数据库设计及实现(电话计费管理系统)2.实验项目的目的和任务:

熟悉数据库设计的各个阶段,会使用visio工具实现阶段性设计的表达,并使用vb.net语言实现数据库的连接,完成数据的显示、修改、插入和删除。

学生根据所学的数据库原理与程序设计知识,能够针对一个小型的数据库管理系统进行需求分析、系统设计、数据库设计、编码,测试等,完成要求的功能,从而达到掌握开发一个小型数据库的目的。

3.实验内容:

(1)根据数据库设计各个阶段得出的内容,在SQLSERVER中建立数据库(2)选择vb.net语言设计一个系统,实现连接数据库,并且可以显示数据库内容

4.需求分析

(1)计费系统作为业务运营支撑系统的基础,其准确性和有效性至关重要,计费系统的错误将直接影响结算、账务及客户管理系统的处理结果。由于我国移动手机用户的基数很大,计费系统任何微小的偏差所造成的损失都是巨大的。该系统信息来源主要有管理员添加,方便网站管理员的查询和管理。该系统的任务是方便,灵活的管理用户的各项信息。(2)总体描述

对电话计费业务进行调查,设计的系统要求:能够记录通话信息,如来电号码、去电号码、通话时长、通话费用,查询费用帐单等信息具体对各种数据文件装入和修改数据的功能。能在用户交费同时打印发票。能用关系数据库理论建立几个数据库文件来存储用户信息,收费员信息和收费信息等资料。能够为用户提供查询各种记录的功能(3)功能需求: 查询模块 数据库原理及应用Application and principles of database

月花费查询 :客户可对每月的话费进行查询(每项记录包括通话费、新业务费、费用合计、实缴费用合计等信息)。

帐户余额查询: 客户可查询话费单上的余额。用户资料查询: 客户可以查阅个人资料。业务查询: 客户可以实时了解个部门的各项活动。计费模块

缴费信息 :管理员可根据用户所缴的话费进行计费,并反馈给用户,用户在交费的同时可打印发票。基本信息更新模块

月话费管理: 管理员可对每月的话费记录进行逐条添加、更新和删除。客户受理结果: 管理员可对每月的话费记录进行逐条添加、更新和删除。(4)数据流程图

数据库原理及应用Application and principles of database

数据库原理及应用Application and principles of database

(5)数据字典 数据项 客户受理表

客户资料表

收款表

通话信息表

数据库原理及应用Application and principles of database

收费员表

消费表

数据结构

(1)数据结构名:客户受理

组成结构:客户姓名、受理号码、受理内容

(2)数据结构名:客户资料

组成结构:客户姓名、联系电话、联系地址、套餐名称、手机号码、手机密码

(3)数据结构名:收款

组成结构:手机号码、客户姓名、应收费用、余额

(4)数据结构名:通话信息

组成结构:来电号码、去电号码、通话时长、通话时间、单位费用、总费用

(5)数据结构名:收款员 组成结构:姓名、密码

(6)数据结构名:消费

组成结构:手机号码、客户姓名、通话费、新业务费、费用合计、套餐名称 数据流

(1)数据流名称:登录申请

输入:客户

输出:用户信息 数据库原理及应用Application and principles of database

(2)数据流名称:登录申请

输入:收银员

输出:收银员信息(3)数据流名称:查询资料

输入:客户

输出:资料信息(4)数据流名称:套餐查询

输入:客户

输出:套餐信息(5)数据流名称:客户受理情况

输入:收银员

输出:受理信息 数据存储

(1)数据存储名:客户信息

输入数据流:客户基本信息

输出数据流:客户信息

(2)数据存储名:收营员信息

输入数据流:收银员基本信息

输出数据流:收银员信息

(3)数据存储名:客户费用信息

输入数据流:客户各项费用信息

输出数据流:客户费用信息

(4)数据存储名:客户缴费信息

输入数据流:客户缴费信息

输出数据流:客户缴费信息 处理过程

(1)处理过程名:登录查看

输入数据流:终端

输出数据流:客户信息

(2)处理过程名:登录查看

输入数据流:终端

输出数据流:收银员信息

(3)处理过程名:客户受理

输入数据流:客户要求

输出数据流:客户受理情况

(4)处理过程名:客户缴费

输入数据流:客户信息

输出数据流:客户(5)处理过程名:显示余额信息

输入数据流:客户信息 输出数据流:客户余额信息

(6)处理过程名:显示套餐信息

输入数据流:客户信息

输出数据流:客户套餐信息

5.概念结构设计

(1)概述

根据需求将分析阶段形成的分析说明书,把用户的信息需求抽象为信息结构即概念模型的过程就是概念设计。设计概念结构通常有自顶向下、自底向上、逐步扩张、混合策略 4 类方法。其中最常用的策略是自底向上方法。下面就用 E-R图来描述显示世界的概念模型。(2)系统 E-R 图 数据库原理及应用Application and principles of database

数据库原理及应用Application and principles of database

数据库原理及应用Application and principles of database

6.逻辑结构设计

(1)概述

逻辑设计的任务就是把概念结构设计阶段的设计好的基本 E-R 图转换为选用的 DBMS 产品所支持的数据模型项符合的逻辑结构设计。设计逻辑结构时一般要分为两步进行如下图所示: 9 数据库原理及应用Application and principles of database

(2)E-R图向关系模型的转换 实体及其属性

客户: {客户姓名,联系电话,联系地址,套餐名称}

应收款额: {账户编号,手机号码,应收费用,余额}

话费信息库: {手机号码,客户姓名,通话费,新业务费,费用合计,套餐名称}

收费员: {收费员编号,姓名,年龄}

客户受理: {手机号码,客户姓名,受理内容,受理时间}

通话信息: {手机号码,来电号码,去电号码,单位费用,通话时长,总费用 } 联系的属性

使用: {手机密码}

计费: {密码} 根据转换规则将实体转换为关系模式

本实例中没有 1:1 关系对于 1:n 联系,将它们与 n 端的关系模式合并。该关系模式中应加入 1 端的码和联系本身的属性。

客户: {客户姓名,所在城市,套餐名称,手机号码,手机密码} 收费员: {收费员编号,姓名,年龄,手机号码,密码}

对于 m :n 的联系,必须单独转换为一个关系模式,属性由各实体的码和联系本身的属性组成,关系的码为组成联系各实体的码的组合。

支付: {客户姓名,联系电话,收费员编号} 处理: {手机号码,收费员编号}

电话计费系统的 E-R 图,转换为以下 6 个关系模式 :

客户: {手机号码,所在城市,套餐名称,客户姓名,手机密码} 收费员: {收费员编号,姓名,年龄,手机号码,密码} 话费信息:{手机号码,客户姓名,通话费,费用合计,套餐名称} 客户受理:{手机号码,收费员编号,客户姓名,受理内容,受理时间}

通话信息: {手机号码,来电号码,去电号码,单位费用,通话时长,总费用 }(3)关系模式的规范化

关系模式属于第三范式,每个非主属性都不传递函数依赖于主关系键。在关系模式中,对于客户模式,手机号码为主属性,其余的为非主属性,非主属性不传递依赖于手机号码,属于第三范式。对于收费员,收费员编号为主属性,姓名,年龄,手机号码,编号,密码为非主属性,它们不传递依赖于主关系键收费编号,属于第三范式。对于客户受理,手机号码和收费员编号为主属性,客户姓名,受理内容,受理时间为非主属性,手机号码、收费员编号,他们不依赖于手机号码、收费员编号,属于第三范式。对于应收款额、话费信息、通话信息,他们的非主 属性同样不传递依赖于主属性手机号码,都属于第三范式。

7.数据库实施

(1)概述

数据库实施阶段包括两项重要的工作,一是数据集的载入,另一项就是应用程序的编码和调式。10 数据库原理及应用Application and principles of database

数据库原理及应用Application and principles of database

数据库原理及应用Application and principles of database

数据库原理及应用Application and principles of database

数据库原理及应用Application and principles of database

数据库原理及应用Application and principles of database

数据库原理及应用Application and principles of database

8.总结

过这次课程设计,从设计需求分析,到各模块功能的设计及数据库设计,我对电话计费管理系统有了较深入的了解,基本掌握了数据库设计的思想。通过本次设计,对数据库系统概论这门课有了全新的认识,经过本次课程设计,我受益匪浅,从一个整体上把握了一个数据库的设计方法,同时对于如何利用vb.net语言去连接数据库,并且操纵数据库有了一定的了解和掌握。希望以后自己能够继续学习数据库,对它有更深层次的了解。

下载河南科技大学出租车计费系统课程设计(共5则)word格式文档
下载河南科技大学出租车计费系统课程设计(共5则).doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    出租车计价器 硬件课程设计

    硬件课程设计报告 题目:出租车计价器 目录 1. 引言 ........................................................................................................................

    出租车计价器课程设计2

    出租车计价器课程设计 目录 前言 1、 系统工作原理 1.1 功能说明 1.2 基本原理 2、 硬件设计 2.1 单片机最小系统单元 2.2 A44E霍尔传感器检测单元 2.3 AT24C01存储单元 2.......

    河南科技大学教案

    河南科技大学教案首页 课程名称 数控技术及装备 任课教师 张丰收 第 四 章 进给伺服系统 计划学时 10 教学目的和要求:通过本章的学习,使学生掌握数控机床伺服机构和位置检测......

    电信计费系统实习报告

    实习报 告 生产实习单位 实习时 间 2017年 4月 6日至 2017年 4 月 15日止 指导教师(单位) 指导教师(学校) 学 生 姓 名 学 号 学 校 说 明 生产实习结束之前,每个学......

    网吧计费系统工作原理

    网吧计费系统工作原理 详解网吧计费软件的操控原理和计费方法 前面咱们分析了《网吧计费体系的解放初期-门禁体系的诞生》,或许你对这个网吧计费软件还不是很知道,那么下面咱......

    51单片机 出租车计价器课程设计

    第一章 出租车计价系统的设计要求与设计方案 1.1 出租车计价器设计要求 设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分,用七段数码管显示总金......

    单片机课程设计出租车计价器1.

    常州机电职业技术学院 毕业设计(论文 作者:丛佳伟学号:40931111系部:电气工程系 专业:应用电子技术 题目:出租车计价器系统 指导者:徐登黄勇 评阅者: 2012年05月 摘要 现在......

    河南科技大学军事理论题库,

    1、选择题:25题 1、金砖五国是指哪些国家: ( )。 A) 中国、俄罗斯、巴西、印度、南朝鲜 B) 中国、俄罗斯、巴基斯坦、印度、南朝鲜 C) 中国、俄罗斯、巴西、印度、南非 D) 中国、俄......