PLD可编程数字系统课程设计内容(电信10)

时间:2019-05-15 09:51:40下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《PLD可编程数字系统课程设计内容(电信10)》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《PLD可编程数字系统课程设计内容(电信10)》。

第一篇:PLD可编程数字系统课程设计内容(电信10)

PLD可编程数字系统课程设计

一、设计题目:基于Verilog HDL的数字秒表和电子时钟设计

二、设计目的

1、掌握Verilog HDL用于数字逻辑系统的设计技术和方法;

2、掌握CPLD/FPGA器件的应用方法;

3、学习掌握EDA综合开发环境(如ALTERA公司的Quartus II等)下进行设计、仿真、综合、下载及调试的方法。

三、设计任务 基础部分:

1、设计用于体育比赛用的数字秒表功能,要求

⑴ 计时精度应大于1/100秒,计时器能显示1/100秒的时间;

⑵ 计时器的最长计时时间为1小时,为此需要一个6位的显示器,显示的最长时间为59分59.99秒。

2、设置有复位和启/停开关,要求

⑴ 复位开关用来使计时器清零,并做好计时准备;

⑵ 启/停开关的使用方法与传统的机械计时器相同,即按一下启/停开关,启动计时器开始计时,再按一下启/停开关,计时中止。

3、采用Verilog HDL语言用层次化设计方法设计符合上述功能要求的数字秒表。

4、在此基础上增加电子时钟功能

(1)加入一个模式开关按键,按一下,变为秒表模式,再按一下切换回电子时钟模式。

(2)设计电子时钟,要求能够对当前时间进行设置。用6个数码管分别显示小时、分钟、秒钟。

(3)同时要求能够设置闹钟时间,到达时间后蜂鸣器会响5秒钟。

加分部分:

5、加分任务:利用实验箱资源设计一个系统,加分的分数视系统的新颖性,功能和复杂程度而定。(新颖性体现在:如果多个人实现相同的系统,那么此系统的加分分数相应降低)

四、设计步骤

1、采用层次化设计方法将设计项目分为若干模块;

2、对各模块分别设计,写出行为描述的Verilog HDL源文件;

3、对所作设计进行功能仿真,通过有关波形确认设计是否正确;

4、完成全部设计后,通过实验箱下载验证课题设计的正确性。

五、课程设计报告要求

1、设计目的、任务;

2、设计步骤;

3、根据分层方法进行模块设计,写出各模块Verilog HDL源代码;

4、记录综合、仿真、调试过程及结果;

5、总结所做设计及设计方法;

6、自我鉴定(实验报告册封底对应栏)。

六、时间安排

12节课 34节课 56节课 78节课

第一周周一 1班 1班 2班 3班 周二 2班 2班 3班 1班

周三 3班 3班 1班 2班

周四 1班 1班 2班 3班

周五 2班 2班 3班 1班

第二周周一 3班 3班 1班 2班

周二 1班 1班 2班 3班

周三 2班 2班 3班 1班

周四 3班 3班 1班 2班

周五 1班同学答辩 2班同学答辩 3班同学答辩

七、考核方法

该课程设计成绩由三部分组成,即平时、考核测试及报告成绩,分别占15%,70%,15%。整个设计分为基础部分和加分部分,最后一天进行答辩,答辩中老师会进行提问,最终成绩视回答情况而定。完成基础部分后可以设计加分部分,根据设计的情况进行加分。

第二篇:可编程序控制器课程设计报告封皮及内容

可编程序控制器 课程设计报告

学校:哈尔滨理工大学荣成学院 院系:电气信息系 专业班级:电机10-XX 学号: 姓名: 题目(黑体,小二)

一、任务要求

(正文宋体四号字)

二、系统总体方案

(正文宋体四号字)

三、PLC型号选择及其他PLC元器件分配

(正文宋体四号字)

四、PLC控制系统硬件接线图

(正文宋体四号字)

五、程序框图和梯形图

(正文宋体四号字)

六、心得体会和参考资料

(正文宋体四号字)

第三篇:数字系统课程设计交通灯控制器

东南大学

《数字系统课程设计》

设计报告

项目名称: 交通灯控制器

名:

号:

业:

实 验 室: 电工电子实验中心

别:

同组人员:

设计时间: 2016 年月 26 日

——

2016 年 9 月 20日 评定成绩:

审阅教师:

目录

一.设计方案及论证……………………………………………… 3 二.模块设计……………………………………………………… 5 三.总体设计与仿真……………………………………………… 10 四.总结…………………………………………………………… 12 一.设计方案及论证

1.设计使用环境

本交通灯控制系统设计利用Verilog HDL语言进行设计编程,利用Cyclone EP1C6Q240C8芯片和一些外围器件组成硬件电路,利用Quartus II软件将编写好的程序进行编译和仿真,并将调试完成的程序下载到Cyclone EP1C6Q240C8芯片上,通过观测电路板上的红绿信号灯以及数码管显示来分析系统的性能。

2.设计任务分析

主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。

设计要求:

1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s。

3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。4)不论主干道情况如何,乡村公路通车最长时间为16s。

5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时间的黄灯作为过渡。6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。7)数码管倒计时显示

3.测量控制原理

1)通过乒乓开关来控制FPGA输入信号的电平,从而控制交通灯工作。

2)利用FPGA输出的电平信号去驱动静态数码管及三色小灯来模拟交通灯。

4.顶层设计方案框图及说明

1)交通灯控制器框图

C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST为1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭。

2)流程图

MGCR表示主干道绿灯,乡村道路红灯;MYCR表示主干道黄灯,乡村道路红灯;MRCG表示主干道红灯,乡村道路绿灯;MRCY表示主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。二.模块设计

1.模块功能及端口说明

1)分频模块

输入端为clk_in,即实验箱自带脉冲输入信号,输出端为clk,即想得到的频率。2)主控制模块

输入端为CLK、RST、C。其中c为乡村道路开关,为1时表示乡村道路有车;rst为初始化开关,为1时表示初始化为主干道绿灯,乡村道路红灯的状态。

输出端为MG、MY、MR、CG、CY、CR分别表示主干道和乡村道路的红黄绿灯,与LED灯相连;mh、ml、ch、cl分别表示主干道和乡村道路倒计时显示的高低位,与数码管相连。

3)数码管显示模块

输入端为clk和count,输出端为LED。

2.主要功能的设计方法

1)分频模块

试验箱可选晶振有2M和50M,选择使用2M后,设置分频系数为2000000,每计数到1000000,则输出取反,最终可得到1HZ的时钟信号。

2)主控制模块

设置两个外部控制条件:初始化(RST);乡村干道是否有车(C);

设置一个内部计数变量:NUM,通过相关运算取余取整得到数码管显示高低位;

通过有限状态机实现四个状态的循环切换。

3.Verilog设计程序及说明

1)分频模块

module fre(clk_in,clk);input clk_in;output clk;reg clk;reg [31:0]k;always @(negedge clk_in)begin

if(k>=1000000)//1000000分频 begin clk<=~clk;//取反

k<=0;end else

k<=k+1;//计数

end endmodule 2)主控制模块

module traffic(CLK,RST,C,MG,MY,MR,CG,CY,CR,mh,ml,ch,cl);input CLK,RST,C;output [3:0]mh,ml,ch,cl;output MG,MY,MR,CG,CY,CR;reg [3:0] mh,ml,ch,cl;reg MG,MY,MR,CG,CY,CR;reg [31:0] COUNT;reg [5:0]state;parameter s1=6'b100001,s2=6'b010001,s3=6'b001100,s4=6'b001010;always @(posedge CLK)if(RST)//初始化

begin

state = s1;//最初状态,主通行,乡村不通行 MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;COUNT = 0;

mh=2;//主干道绿灯25s,乡村道路红灯5s

ml=5;

ch=3;

cl=0;

end else case(state)s1: begin COUNT = COUNT+1;

if((COUNT>=25)&&(C==1))//25s已计完且乡村道路来车,跳转到s2状态

begin

state = s2;//主干道黄灯,乡村道路红灯

MG=0;MY=1;MR=0;CG=0;CY=0;CR=1;COUNT = 0;mh=0;ml=5;ch=0;cl=5;end else if(COUNT<25)//25s没有计完,保持s1状态

begin

state = s1;

MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;

mh=(25-COUNT)/10;//取整取余换算,倒计时显示

ml=(25-COUNT)%10;ch=(30-COUNT)/10;cl=(30-COUNT)%10;end else if(COUNT >= 25 && C == 0)//25s计完,乡村道路仍然没有车

begin

state = s1;//保持s1 MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;mh=0;//数码管显示0

ml=0;

ch=0;

cl=0;

end

end s2: begin COUNT = COUNT+1;

if(COUNT==5)//5s黄灯已计完

begin

state = s3;//主干道红灯,乡村道路绿灯 MG=0;MY=0;MR=1;CG=1;CY=0;CR=0;COUNT = 0;

mh=2;//主干道21s红灯,乡村道路16s绿灯

ml=1;

ch=1;

cl=6;

end else

begin

state = s2;//5s黄灯未计完时,保持s2状态

MG=0;MY=1;MR=0;CG=0;CY=0;CR=1;

mh=0;

ml=5-COUNT;

ch=0;

cl=5-COUNT;

end end s3: begin COUNT = COUNT+1;

if(((COUNT>=16)&&(C==1))||(C==0))//乡村道路16s通行时间已结束,不管有无来车,均跳转s4状态

begin

state = s4;//主干道红灯,乡村道路黄灯

MG=0;MY=0;MR=1;CG=0;CY=1;CR=0;

COUNT = 0;

mh=0;

ml=5;

ch=0;

cl=5;

end else

begin

state = s3;//16s未结束,仍保持s3状态

MG=0;MY=0;MR=1;CG=1;CY=0;CR=0;

mh=(21-COUNT)/10;

ml=(21-COUNT)%10;

ch=(16-COUNT)/10;

cl=(16-COUNT)%10;

end end s4: begin COUNT = COUNT+1;if(COUNT==5)//5s黄灯时间结束

begin

state = s1;//回到s1状态

MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;

COUNT = 0;

mh=2;

ml=5;

ch=3;

cl=0;

end else

begin

state = s4;//否则保持s4状态

MG=0;MY=0;MR=1;CG=0;CY=1;CR=0;

mh=0;

ml=5-COUNT;

ch=0;

cl=5-COUNT;

end end default:

begin

state = s1;

MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;

COUNT = 0;

mh=0;

ml=5-COUNT;

ch=0;

cl=5-COUNT;

end endcase endmodule 3)数码管显示模块 module led(clk,count,LED);input clk;input [3:0]count;output [7:0]LED;reg [7:0]LED;always @(posedge clk)begin case(count)

4'b0000:LED=8'b00000011;

4'b0001:LED=8'b10011111;

4'b0010:LED=8'b00100101;

4'b0011:LED=8'b00001101;

4'b0100:LED=8'b10011001;

4'b0101:LED=8'b01001001;

4'b0110:LED=8'b01000001;

4'b0111:LED=8'b00011111;

4'b1000:LED=8'b00000001;

4'b1001:LED=8'b00001001;

default:LED=8'b00000001;endcase end endmodule 4.仿真图及说明

(1)分频模块

由于实际应用中分频较大,仿真时为方便观察,将分频频数设置为20。输入为clk_in,周期为10ns;输出为clk,其周期为200ns,与理论值相符。(2)主控制模块

与总体仿真相同,在此不再赘述。

三.总体设计与仿真

1.顶层设计图及说明

fre为分频模块,traffic为主控制模块,led为数码管显示模块。输入端有clk_in、c和rst,输出端有MG、MY、MR、CG、CY、CR和mh、ml、ch、cl。

2.仿真图及说明

输入有:C、CLK和RST 输出有:CG、CR、CY、MG、MR、MY、ch、cl、mh和ml C为乡村道路是否来车,1表示来车,0表示无车;CLK为时钟信号;RST为初始化功能,1有效;CG、CR、CY、MG、MR、MY分别表示乡村道路绿灯、红灯、黄灯,主干道绿灯、红灯、黄灯;ch、cl、mh、ml分别表示乡村道路和主干道红绿灯倒数显示高低位。

3.实验结果

(1)乡村道路无车时

乡村道路无车时,主干道25s倒数,乡村道路30s倒数结束后,保持0,且主干道绿灯亮,乡村道路红灯亮。(2)乡村道路有车时

若乡村道路一直有车,主干道25s(即S1状态)倒计时结束后,主干道切换黄灯,乡村道路保持红灯(即S2状态);5s黄灯倒计时结束后,主干道切换红灯,时间21s,乡村道路切换绿灯,时间16s(即S3状态);乡村道路16s绿灯结束后,切换黄灯,主干道保持红灯(即S4状态),5s黄灯结束后,回到S1状态,即主干道25s绿灯,乡村道路30s红灯,若一直有车,则循环进行。

四.总结

1.实验结果分析

(1)输入与输出

两个开关:一个初始化控制开关,一个乡村道路开关。初始化开关打开后复位,交通灯开始工作,乡村道路打开表示乡村公路上有车。

输出:四个数码管,两个显示主干道交通灯时间,两个显示乡村道路时间;六个led灯,两红两黄两绿分别表示主干道和乡村公路的红黄绿灯。(2)运行过程

1)初始状态(S1)

左侧为主干道倒计时,右侧为乡村道路倒计时;主干道绿灯亮,乡村道路红灯亮。K1为初始化按键,K2为乡村道路有无来车。

2)主干道25s绿灯结束后切换黄灯,乡村道路红灯(S2)

3)主干道5s黄灯结束,切换红灯21s,乡村道路切换绿灯16s(S3)

4)乡村道路16s绿灯结束,切换黄灯,主干道红灯(S4)

5s黄灯倒计时结束,回到S1状态,若一直有车,则循环S1-S2-S3-S4-S1。

2.问题解决方法

问题1:数码管显示与红绿灯切换不同时。

解决方法:红绿灯输出后面增加一延时模块,延时一个CLK,使其与数码管显示同步。问题2:理解错题意,在S3状态(即主干道红灯,乡村道路绿灯)时,此时若乡村道 路无车通过,应立即切换为S4状态(即主干道红灯,乡村道路黄灯),而不是等当前计数结束再切换。

解决方法:将代码修改为if(((COUNT>=16)&&(C==1))||(C==0)),修改后符合要求,解决了问题。

3.心得体会

通过此次系统设计,我对verilog HDL语言有了初步了解,并对利用quartus来进行系统设计有了更加深入的理解,操作也更加熟练。在设计过程中应该先设计好总体架构,再进行模块的具体设计,通过分析每个模块要实现的功能来写代码,并注意编写注释,便于以后的理解修改。编译时要注意设置顶层文件,先进行仿真观察结果是否正确,对代码进行修改,仿真结果正确后再下载到硬件,测试系统功能。

参考书目: [1] 夏宇闻,《Verilog数字系统设计教程》,北京,北京航空航天大学出版社,2013年 [2] 王金明,《数字系统设计与Verilog HDL》,北京,电子工业出版社,2011年

第四篇:课程设计--模拟电信计费系统

课程设计指导书

课题名称:模拟电信计费系统

设计目标:按照软件工程的规范,以SQL Server或Access为后台数据库,以Visual C++、Delphi等为前端开发工具,设计并实现一个能模拟电信计费过程的系统。需求描述:

本系统存放固定电话通话的源数据和费率数据。通话数据包括:主叫区号、主叫电话号码、被叫区号、被叫电话号码、通话开始时间、通话时长(秒)。如果主、被叫区号相同,则为本地通话;否则为长途通话。费率数据存放本地到外地的通话费率,包括:被叫区号和通话费率。

系统应实现以下功能:

(1)计费功能(对应专门的菜单):

根据存放在源通话数据中的通话记录和长途费率对每一条通话记录

计算其通话费用。其中:

通话费的计算方法如下:

通话费=长途电话费+本地电话费

长途电话费=费率(元/分钟)×通话时长(分钟)

(通话时长不满1分钟的按1分钟计算)

本地电话费为:3分钟以内0.5元,以后每3分钟0.2元。

(2)话费查询:按电话号码查询某月或某几个月该电话号码的所有本地话费、长途话费和总费用。

(3)话单查询:按电话号码查询某月或某几个月该用户的所有通话记录。结果形式:

提交课程设计报告、源程序和可演示的软件

课程设计报告要求:详见课程设计模板

课程设计参考思路:

(1)熟悉数据库和开发工具,掌握开发工具与本地数据库的连接方法。

(2)理解系统的信息需求,进行合理的数据库设计,建立各数据库表。

(3)理解系统的功能需求,设计应用软件。结合Delphi 或VC++进行系统界面

(窗体、菜单以及相应控制按钮)的设计、连接与操纵数据库方案的设计,编写程序。

(4)系统运行、调试并完善。

(5)撰写设计报告。

第五篇:通信原理数字频带传输系统课程设计

目 录

1技术要求..................................................................1 2基本原理..................................................................1 2.1 数字基带传输系统的组成..............................................1 2.2 基带传输的常用码型..................................................2 2.3 无码间串扰的基带传输特性............................................3 2.3.1 无码间串扰的条件...............................................3 2.3.2 余弦滚降特性...................................................3 2.4 眼图................................................................4 3 使用Matlab建立模型描述...................................................5 3.1 Simulink简介........................................................5 3.2 设计思路............................................................6 3.2.1 信源模块.......................................................6 3.2.2 收发滤波器和信道模块...........................................7 3.2.3 抽样判决模块...................................................9 3.2.4 误码率计算模块.................................................9 3.2.5 整体设计电路图................................................10 4 使用System View建立模型描述.............................................10 4.1 System View简介....................................................10 4.2 设计思路...........................................................11 5 模块功能分析.............................................................12 5.1 用Simulink设计系统.................................................12 5.2 用System View设计系统..............................................13 6 调试过程及结论...........................................................15 6.1 Simulink调试.......................................................15 6.1.1 Simulink调试结果..............................................15 6.1.2 Simulink调试结论..............................................17 6.2 System View调试....................................................17 6.2.1 System View调试结果...........................................17

武汉理工大学《通信原理》课程设计说明书

6.2.2 System View调试结论...........................................18 6.3 两种方案性能对比...................................................19 7 心得体会.................................................错误!未定义书签。8 参考文献.................................................................19

武汉理工大学《通信原理》课程设计说明书

数字基带通信系统的设计

1技术要求

设计一个数字基带传输系统,要求:(1)设计一个数字基带传输系统的结构;

(2)根据通信原理,设计出各个模块的参数(例如码速率,滤波器的截止频率等);(3)用Matlab或SystemView 实现该数字基带通信系统;(4)观察仿真并进行波形分析;(5)系统的性能评价。

2基本原理

2.1 数字基带传输系统的组成

在数字传输系统中,其传输的对象通常是二进制数字信号,它可能是来自计算机、电传打字机或其它数字设备的各种数字脉冲,也可能是来自数字电话终端的脉冲编码调制(PCM)信号。这些二进制数字信号的频带范围通常从直流和低频开始,直到某一频率 m f,我们称这种信号为数字基带信号。在某些有线信道中,特别是在传输距离不太远的情况下,数字基带信号可以不经过调制和解调过程在信道中直接传送,这种不使用调制和解调设备而直接传输基带信号的通信系统,我们称它为基带传输系统。而在另外一些信道,特别是无线信道和光信道中,数字基带信号则必须经过调制过程,将信号频谱搬移到高频处才能在信道中传输,相应地,在接收端必须经过解调过程,才能恢复数字基带信号。我们把这种包括了调制和解调过程的传输系统称为数字载波传输系统。

系统基带波形被脉冲变换器变换成适应信道传输的码型后,就送入信道,一方面受到信道特性的影响,使信号产生畸变;另一方面信号被信道中的加性噪声所叠加,造成信号的随即畸变。因此,在接收端必须有一个接收滤波器,使噪声尽可能受到抑制,为了提高系统的可靠性,在安排一个有限整形器和抽样判决器组成的识别电路,进一步排除噪声干扰和提取有用信号。对于抽样判决,必须有同步信号提取电路。在基带传输中,主要采用位同步。同步信号的提取方式采用自同步方式(直接法)。同步系统性能的好坏将直接影

武汉理工大学《通信原理》课程设计说明书

响通信质量的好坏,甚至会影响通信能否正常进行。

数字基带传输系统主要由信道信号形成器、信道、接收滤波器和抽样判决器组成,其模型如图1所示。

图1 数字基带传输系统方框图

信道信号形成器:基带传输系统的输入是由终端设备或编码器产生的脉冲序列,它不一定适合直接在信道中传输。信道信号形成器的作用就是把原始基带信号变换成适合于信道传输的基带信号,这种变换主要是通过码型变换和波形变换来实现的,其目的是与信道匹配,便于传输,减小码间串扰,利于同步提取和抽样判决。

信道:允许基带信号通过的媒质。信道的传输特性通常不满足无失真传输条件,恒参信道如(明线、同轴电缆、对称电缆、光纤通道、无线电视距中继、卫星中继信道)对信号传输的影响主要是线形畸变;随参信道如(短波电离层反射、对流层散射信道等)对信号传输的影响主要有频率弥散现象(多径传播)、频率的选择性衰落。信道的线性噪声和加性噪声的影响。在通信系统的分析中,常常把噪声n(t)等效,集中在信道中引入。

接收滤波器:主要作用是滤除带外噪声,对信道特性均衡,使输出的基带波形有利于抽样判决。

抽样判决器:它是在传输特性不理想及噪声背景下,在由位定时脉冲控制的特殊点对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。

自同步法的同步提取电路:有两部分组成,包括非线型变换处理电路和窄带滤波器或锁相环。非线型变换处理电路的作用是使接收信号或解调后的数字基带信号经过非线型变换处理电路后含有位同步分量或位同步信息。窄带滤波器或锁相环的作用是滤除噪声和其他频谱分量,提取纯净的位同步信号。

2.2 基带传输的常用码型

为了在传输信道中获得优良的传输特性,一般要将信码信号变化为适合于信道传输特性的传输码,即进行适当的码型变换。

武汉理工大学《通信原理》课程设计说明书

对传输码型的要求如下:

(1)传输信号的频谱中不应有直流分量,低频分量和高频分量也要小;(2)码型中应包含定时信息,有利于定时信息的提取,尽量减小定时抖动;(3)功率谱主瓣宽度窄,以节省传输频带;

(4)不受信息源统计特性的影响,即能适应于信息源的变化;

(5)具有内在检错能力,即码型应具有一定规律性,以便于利用这一规律性进行宏观监测;

(6)编译码简单,以降低通信延时和成本。

常用的码型有AMI码、HDB3码、曼彻斯特双相码、差分双相码、密勒码、CMI码等。2.3 无码间串扰的基带传输特性

所谓码间串扰是由于系统传输总特性(包括收、发滤波器和信道的特性)不理想,导致前后码元的波形畸变、展宽,并使前面波形出现很长的拖尾,蔓延到当前码元的抽样时刻上,从而对当前码元的判决造成干扰。

2.3.1 无码间串扰的条件

无码间串扰的时域条件为:h(t)的抽样值除了在t=0时不为零外,在其他所有的抽样点上均为零,就是不存在码间串扰。表达式如下:

h(kTs)

1k=0

h(kTs)0

k为其他整数

(1)

无码间串扰的频域条件为:

Heq(ω)∑H(ω2π i RB)常数

(2)

2.3.2 余弦滚降特性

升余弦滚降传输特性H(ω)可表示为

H()H0()H1()

(3)

H(ω)是对截止频率ωb的理想低通特性H0(ω)按H1(ω)的滚降特性进行“圆滑”得到的,H1(ω)对于ωb具有奇对称的幅度特性,其上、下截止角频率分别为ωb+ω

1、ωb-ω1。它的选取可根据需要选择,升余弦滚降传输特性H1(ω)采用余弦函数,此时H(ω)为

武汉理工大学《通信原理》课程设计说明书

升余弦滚降函数: htsinpi*T/Tbcos(2*pi*T/Tb)

(5)*2pi*T/Tb1(2*T/Tb)(4)

其中α为滚降系数。α值越大,h(t)的拖尾衰减越快,对定位精度要求越低。但是滚降系数使带宽增大,所以频带利用率低。

2.4 眼图

眼图是指利用实验的方法估计和改善(通过调整)传输系统性能时在示波器上观察到的一种图形。观察眼图的方法是:用一个示波器跨接在接收滤波器的输出端,然后调整示波器扫描周期,使示波器水平扫描周期与接收码元的周期同步,这时示波器屏幕上看到的图形像人的眼睛,故称为“眼图”。从“眼图”上可以观察出码间串扰和噪声的影响,从而估计系统优劣程度。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。眼图的“眼睛”张开的大小反映着码间串扰的强弱。“眼睛”张的越大,且眼图越端正,表示码间串扰越小;反之表示码间串扰越大。当存在噪声时,噪声将叠加在信号上,观察到的眼图的线迹会变得模糊不清。若同时存在码间串扰,“眼睛”将张开得更小。与无码间串扰时的眼图相比,原来清晰端正的细线迹,变成了比较模糊的带状线,而且不很端正。噪声越大,线迹越宽,越模糊;码间串扰越大,眼图越不端正。眼图对于展示数字信号传输系统的性能提供了很多有用的信息:可以从中看出码间串扰的大小和噪声的强弱,有助于直观地了解码间串扰和噪声的影响,评价一个基带系统的性能优劣;可以指示接收滤波器的调整,以减小码间串扰。

眼图的一般描述如图2所示。

图2 眼图的一般描述

武汉理工大学《通信原理》课程设计说明书

对于该图可获得以下信息:

(1)最佳抽样时刻应在“眼睛”张开最大的时刻。

(2)对定时误差的灵敏度可由眼图斜边的斜率决定。斜率越大,对定时误差就越灵敏。

(3)在抽样时刻上,眼图上下两分支阴影区的垂直高度,表示最大信号畸变。(4)眼图中央的横轴位置应对应判决门限电平。

(5)在抽样时刻上,上下两分支离门限最近的一根线迹至门限的距离表示各相应电平的噪声容限,噪声瞬时值超过它就可能发生错误判决。

(6)对于利用信号过零点取平均来得到定时信息的接收系统,眼图倾斜分支与横轴相交的区域的大小,表示零点位置的变动范围,这个变动范围的大小对提取定时信息有重要的影响。使用Matlab建立模型描述

3.1 Simulink简介

Simulink是Matlab最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。

Simulink是用于动态系统和嵌入式系统的多领域仿真和基于模型的设计工具。对各种时变系统,包括通讯、控制、信号处理、视频处理和图像处理系统,Simulink提供了交互式图形化环境和可定制模块库来对其进行设计、仿真、执行和测试。

Simulink模块库按功能进行分类,包括以下8类子库:Continuous(连续模块)、Discrete(离散模块)、Function&Tables(函数和平台模块)、Math(数学模块)、Nonlinear(非线性模块)、Signals&Systems(信号和系统模块)、Sinks(接收器模块)、Sources(输入源模块)。

启动Simulink只需在Matlab窗口中输入指令Simulink即可打开。

武汉理工大学《通信原理》课程设计说明书

3.2 设计思路

根据数字基带传输系统方框图,在设计时整个系统可分为信源模块、收发滤波器和信道模块、抽样判决输出模块、误码率计算模块这四个模块,下面介绍每个模块的设计思路。

3.2.1 信源模块

常见的基带信号波形有:单极性波形、双极性波形、单极性归零波形和双极性归零波形。双极性波形可用正负电平的脉冲分别表示二进制码“0”和“1”,故当“1”和“0”等概率出现时无直流分量,有利于在信道中传输,且在接收端恢复信号的判决电平为0,抗干扰能力较强。故单极性波形的极性单一,虽然易于用TTL,CMOS电路产生,但直流分量大,要求传输线路具有直流传输能力,不利于信道传输。归零信号的占空比小于1,即:电脉冲宽度小于码元宽度,每个有电脉冲在小于码元长度内总要回到零电平,这样的波形有利于同步脉冲的提取。

基于以上考虑,本次课程设计我采用的码型为曼彻斯特双相码,其编码规则为:将二进制码“1”编成“10”,将二进制码“0”编成“01”。在这里采用了二进制双极性码,则将“1”编成“+1-1”码,将“0”编成“-1+1”码。采用Simulink中的Bernoulli Binary Generator(不归零二进制码生成器)、Unipolar to Bipolar Converter(单极性向双极性转换器)、Pulse Generator(脉冲生成器)、Constant(常数源模块)、Add(加法器)、Product(乘法器)、Scope(示波器)构成曼彻斯特码生成电路。模块连接图如图3所示。

图3 信源模块连接图

武汉理工大学《通信原理》课程设计说明书

Bernoulli Binary Generator用于产生“1”和“0”的随机信号,经过Unipolar to Bipolar Converter变为双极性信号;Pulse Generator用于产生占空比为1/2的单极性归零脉冲(2020),经过Add加法器减一后成为双极性脉冲(+1-1+1-1)。两路双极性信号作为乘法器的输入,相乘后结果为:第1路不归零码的1码与第2路(+1-1)相乘得到(+1-1),第1路-1码与第2路(+1-1)相乘得到(-1+1)码,形成了曼彻斯特码。

该模块参数设置:原信号频率设置为1000Hz,抽样脉冲信号频率为2000Hz。因为由前面的原理可知在原信号的一个码元宽度对应抽样的两个码元宽度。具体参数设计如图4所示。Bernoulli Binary Generator设置(左),Pulse Generator设置(右)。

图4 参数设置1

3.2.2 收发滤波器和信道模块

本模块由发送滤波器、传输信道、接受滤波器组成。1)发送、接受滤波器的设计

基带系统设计的核心问题是滤波器的选取,为了使系统冲激响应h(t)拖尾收敛速度加快,减小抽样时刻偏差造成的码间干扰问题,要求发送滤波器应具有升余弦滚降特性;要得到最大输出信噪比,就要使接受滤波器特性与其输入信号的频谱满足共轭匹配式如下:

GR(w)GT(w)e^(jwt0)(6)

GT(w)(7)同时系统函数满足H(w)GT(w)GR(w)考虑在t0时刻取样,上述方程改写为:

GR(w)于是有:

GR(w)GT(w)[H(w)]*(8)

因此,在构造系统时收发滤波器均采用平方根升余弦滤波器。

武汉理工大学《通信原理》课程设计说明书

2)信道的设计

信道是允许基带信号通过的媒介,通常为有线信道。信道的传输特性通常不满足无失真传输条件,且含有加性噪声。因此本次系统设计采用高斯白噪声信道。

为了减小码间干扰,在最大输出信噪比时刻输出信号,减小噪声干扰,传输模块由Upsample(内插函数)、Discrete Filter(根升余弦发送滤波器)、AWGN Channel(高斯信道)、Discrete Filter(根升余弦接收滤波器)组成。

信号通过Upsample升采样在相同的采样时间内将频率变为原来的10倍,再依次通过发送滤波器、信道、接受滤波器传输信号。

整个模块的连接图如图5所示。

图5 收发滤波器和信道模块连接图

该模块参数设置:根升余弦滚降收、发滤波器的参数为rcosine(2,10,'fir/sqrt',0.5,10);参数的含义为rcosine(Fd,Fs,type_flag,r,delay),其中Fd/2为截止频率,fir/sqrt为均方根FIR滤波器,delay为延时时间。信道采用高斯信道,噪声大小为50dB,此数值为最佳噪声大小。具体参数设置如图6所示。左为滤波器参数,右为信道参数。

图6 参数设置2

武汉理工大学《通信原理》课程设计说明书

3.2.3 抽样判决模块

由于采用的为双极性码,所以抽样电平为“0”,抽样判决规则为:大于“0”判“1”,小于“0”判“-1”。

利用Pulse Generator(脉冲生成器)、Product(乘法器)、Relay(滞环比较器)、Triggered Subsystem(触发子系统)、Downsample(内插函数)构成抽样判决电路,并通过Pulse Generator(脉冲生成器)、Constant(常数)、Add(加法器)、Product(乘法器)对接收到的曼彻斯特码进行解码。整个抽样判决模块电路图如图7所示。

图7 抽样判决模块电路图

如图可知本模块的设计思路:将接收到的信号与脉冲信号相乘,相当于进行了采样,之后通过Relay比较器进行判决,大于“0”判“1”,小于“0”判“-1”;之后通过Triggered Subsystem(触发子系统)进行时机采集,每段时间内只采集一次,最后通过内插函数恢复到原来的频率上。此时得到的为曼彻斯特码,要得到原来的双极性码必须经过解码电路,即图中所示:按照曼彻斯特码的编写过程对其进行反变换,应为+1与-1本身极性相反所以逆变换的过程就是其编码的过程。

该模块参数设置:脉冲信号频率为20000Hz,因为采样点频率需要远大于信号频率;Delay判决门限电平为“0”,大于“0”判“+1”,小于“0”判“-1”。参数设置在此不再截图。

3.2.4 误码率计算模块

为了计算整个系统的性能,在最后加了一个误码率计算的模块,因为测试下来最后的解码相对于原码有一定的延迟,所以对原码加上一个延迟函数再对于解码做误码率的计

武汉理工大学《通信原理》课程设计说明书

算。模块电路图如图8所示。

图8 误码率计算模块

3.2.5 整体设计电路图

综合了以上的四个模块,并在相应的地方添加示波器以便于波形的观察,在接受滤波器后添加眼图来观察系统是否存在码间串扰和噪声,用以判别系统的整体性能。系统整体设计电路图如图9所示。

图9 系统整体设计电路图 使用System View建立模型描述

4.1 System View简介

System View 是一个用于现代工程与科学系统设计及仿真的动态系统分析平台。从滤

武汉理工大学《通信原理》课程设计说明书

波器设计、信号处理、完整通信系统的设计与仿真,直到一般的系统数学模型建立等各个领域,System View 在友好而且功能齐全的窗口环境下,为用户提供了一个精密的嵌入式分析工具。

利用System View,可以构造各种复杂的模拟、数字、数模混合系统和各种多速率系统,因此,它可用于各种线性或非线性控制系统的设计和仿真。用户在进行系统设计时,只需从System View配置的图标库中调出有关图标并进行参数设置,完成图标间的连线,然后运行仿真操作,最终以时域波形、眼图、功率谱等形式给出系统的仿真分析结果。

4.2 设计思路

System View整个系统框图较为简单,信号直接通过与随机噪声相加的信道,再通过巴特沃斯滤波器,再经过抽样判决输出。整个系统框图如图10所示。

图10 System View整个系统框图

参数设置如下:

Token0:Source――Noise/PN――Pn Seg(幅度1V,频率10HZ,电平数2,偏移0V,产生单极性不归零码,随机产生)

Token1:在专业库中选择Comm——Processors——P shape(Select pulse Shape= Rectangular,Time offset=0,Width=0.01s,产生矩形脉冲基带信号)

Token3:Source――Noise/PN――Gauss Noise(均值为0,均方差为0.1的高斯白噪声)Token4:Operator――Filters/systems――Liner Sys Filters(Analog,Butterworth,阶数5,截止频率10Hz)

Token5:Operator――Sample/Hold――Sample(Sample rate=10HZ,用于对滤波后的波 形进行抽样,抽样速率等于码元速率)

Token6:Operator――Sample/Hold――Hold(Hold Value=Last Sample,Gain=1,对抽 样后的值延时一段时间,得到恢复后的数字基带信号)

武汉理工大学《通信原理》课程设计说明书

Token7:Operator——Logic——Compare(Select comparison:a>=b True Output=1V,False Output=-1V,对抽样值进行判决比较,得到输出码元波形)

Token8:产生正弦信号,作为比较器的另一个比较输入(振幅=0V,频率=10Hz)这里采用的滤波器为巴特沃斯数字滤波器,其特性也具有尾部收敛速度较快的特点,只要设置相应的阶数和频率,就可以消除信道中的噪声和码间串扰,但依旧会有延时产生,但延时较小,可以忽略。在System View中依旧可以采用眼图来观察系统的性能设计是否满足传输条件。整个系统的设计思想跟Simulink基本一致,只是在System View中运用的较为直白,这里不再叙述。模块功能分析

5.1 用Simulink设计系统

模块的分类以及功能设计已在第3部分中加以说明,下面结合每部分的波形来对相应模块进行分析。

1)信源模块:调试点波形如图11所示。

图11 信源模块调试点波形

由波形可知该模块可产生曼彻斯特双相码。

2)收发滤波器和信道模块:本模块包含了两个滤波器和一个信道,为了展现个部分功能,共引入了4路信号波形,用来观察信号从发送到接收的整个状态,包括延时、波形转换,同时可以观察到滤波器和信道的性能是否满足设计要求。这四个信号波形分别为发送滤波器前的发送信号

1、经过发送滤波器的信号

2、经过信道的信号

3、经过接收滤波器的信号4。调试点波形如图12所示。

武汉理工大学《通信原理》课程设计说明书

图12 收发滤波器和信道模块调试点波形

通过各点波形可以看出发送接收滤波器相比较前一个波形均有延时,经过高斯信道后波形明显增加了噪声,有一些杂波,在经过接受滤波器后被消除。该模块大大减弱了信号传输过程中所会遇到的码间串扰和噪声问题的影响。这一性能可通过眼图观察出来。

3)抽样判决模块:将信道接收到的信号通过抽样判决输出,各点波形如图13所示。

图13 抽样判决模块调试点波形

通过各点的波形可以看出在接收到的信号经过判决门限判决后需要经过不止一次的分时分频,为了结果的更精确,需进行多次采集,最后可判决出正确的波形。

5.2 用System View设计系统

采用此种方法的中间点波形如图14所示。

武汉理工大学《通信原理》课程设计说明书

图14 System View各点调试波形图

通过各个调试点的波形可以看出其对应的功能,因为前面基本介绍,这里不再述说。通过波形可以发现,信号在通过巴特沃斯滤波器后产生了一些延时,这可能是由滤波器本身的特性而导致的。而通过采样后的波形可以看出明显的门限电平为“0”,可以判别出信号的原始码型。

武汉理工大学《通信原理》课程设计说明书 调试过程及结论

6.1 Simulink调试

6.1.1 Simulink调试结果

系统最终解码与原码波形如图15所示。

图15 最终调试波形1

在原码后添加一个10ms的延时函数器件,输出波形如图16所示。

图16 最终调试波形2

武汉理工大学《通信原理》课程设计说明书

用眼图来观察信道传输后的性能,在接收滤波器后添加眼图,视图如图17所示。

图17 眼图示意图

最后输出信号的频谱图如图18所示。

图18 输出信号频谱图

误码率的计算值如图19所示,此时高斯噪声的大小约为50dB。

武汉理工大学《通信原理》课程设计说明书

图19 误码率计算

6.1.2 Simulink调试结论

通过波形比较、眼图以及信号频谱图可以得出以下结论:

1)系统解码相对原码延时了10ms的时长,延时主要受两个升余弦滤波器的影响; 2)在信道传输信号后,眼图的眼睛张开较大,没有过零点失真,噪声也基本没有,说明信道模块设计性能基本满足要求;

3)系统的误码率为0.004498,在2001个码元中有9个错码,误码率很小但不为零,说明在解码的过程中受到了系统噪声的干扰,由于误码率较小,基本可认为达到了设计要求。

6.2 System View调试

6.2.1 System View调试结果

系统最后输出的解码与原码波形如图20所示。

图20 最终调试波形

武汉理工大学《通信原理》课程设计说明书

在滤波器后观察眼图,视图如图21所示。

图21 眼图示意图

输出信号频谱图如图22所示。

图22 输出信号频谱图

6.2.2 System View调试结论

通过波形和眼图,可以得出以下结论:

1)系统解码相对原码有延时,但时长很短,为10e-3级别,延时主要受巴特沃斯滤波器的影响;

2)通过对眼图的观察,可以发现眼图张开较大,但有少部分杂乱的线,说明存在噪声,但通过波形来看,几乎没有失真。

3)整个系统性能调节达到设计要求。

武汉理工大学《通信原理》课程设计说明书

6.3 两种方案性能对比

通过调试观察波形、眼图以及频谱图,对比两个方案的总体系统性能,可以发现,在Simulink中设计的系统性能较为良好,我认为原因在于滤波器的设计,在Simulink中采用的是升余弦滤波器,更有助于实现无码间串扰传输,巴特沃斯滤波器虽然尾部收敛也比较快,但是对于数字基带传输的性能不如升余弦滤波器。参考文献

[1] 樊昌信,曹丽娜.《通信原理(第6版)》.北京:国防工业出版社,2008.[2] 陈星,刘斌.SystemView通信原理实验指导.北京航空航天大学电子工程系内部讲义,1997.

下载PLD可编程数字系统课程设计内容(电信10)word格式文档
下载PLD可编程数字系统课程设计内容(电信10).doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    电信系统各专业内容介绍

    电信系统各专业内容介绍 1、传输和线路专业 1.1、概述 传输分为一级干线长途传输、二级干线长途传输、本地传输、接入网、微波和卫星通信等。在电信行业重组前,我国建成了八......

    数字电路与系统课程设计-设计8位串行数字锁电路

    大连理工大学城市学院 大连理工大学城市学院 数字电路与系统课程设计 设计题目:设计8位串行密码锁 8位串行数字锁电路 学 院:电子与自动化学院专 业:学 生: 同 组 人: 指导教师:完......

    通信原理课程设计---2FSK数字调制系统仿真和分析

    课程设课程设计名称:专 业 班 级 : 学 生 姓 名 : 学 号 : 指 导 教 师 : 课程设计时间: 计 1 需求分析 二进制频移键控(2FSK)数字调制系统: 1、主要功能:对信号编码形成的0、1......