电气实习实验报告

时间:2019-05-13 03:27:17下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《电气实习实验报告》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《电气实习实验报告》。

第一篇:电气实习实验报告

电气控制系统实习报告

----Z3050型摇臂钻床电气控制原理

目录:

一 实习性质………………………………………1 二 实习的目的和意思…………………………....1 三 实习要求………………………………………1 四 实习内容…………………………………...….1 五 工具、仪表和器材…………………………....2 六 电器器件和工作原理………………………....2 七 Z3050型摇臂钻床控制电路图.........................2 八 主电路分析........................................................4 九 故障分析............................................................4 十 检测操作原理和具体分析................................5 十一 参数选定........................................................6 十二 实习心得体会................................................8

电气控制实习报告—钻床

一 实习的性质

电气控制技术实习在学习常用低压电气设备、电气控制线路的基本控制环节,典型机床电气控制线路等章节的基础上进行的实验性教学环节,其目的是培养学生掌握本专业所必须的基本技能和专业知识,通过学习使学生熟悉并掌握各种常用低压电器设备的构造、工作原理及使用机床的电气控制的线路结构、工作原理、故障分析和排除方法。通过实习培养学生热爱专业、热爱劳动,吃苦耐劳、刻苦钻研的学习精神

二 实习的目的和意义

1.了解Z3050型摇臂钻床的结构与运动情况及拖动特点

2.掌握行程开关、断路器、电流继电器、电压继电器的结构特点、符号、型号及选择

3.熟悉以时间原则控制电动机的启动与停止电路的设计方法 4.能设计自动往返控制线路并能进行安装调试与故障维修 5.能分析绕线式异步电动机电气控制线路的工作原理

6.掌握Z3050型摇臂钻床的电气控制原理分析方法及调试技能 7.能对Z3050型摇臂钻床常见的电气故障进行分析与诊断 8.能排除Z3050型摇臂钻床常见电气故障

三 实习要求

1,懂得使用常用低压电器的实际应用,常用电器控制电路的实际应用,各种电动机控制电路的应用。

2,对于交流接触器、热继电器、时间继电器、按钮、熔断器、行程开关、低压断路器等常用低压电器具有安装、使用、维修和选择的能力

3,初步掌握常用电气控制电路的安装工艺、接线方法、操作要领,试验步骤、常见故障排除技能。

4,初步掌握常用机床电气控制电路的技术要求、电器动作原理,操作步骤、常见故障分析和排除故障技能。

四 实习内容

1,拆装交流接触器、掌握其内部结构、动作原理;短路环的位置,作用;触点的作用和接线位置;测试吸合电压以及额定电压;简单故障处理。

2,熟悉热继电器、按钮、熔断器、开关位置、低压断路器的结构、原理和安装接线规则。了解其使用方法和技术参数的选择。

3,联系各种基本电气控制线路的接线和操作,如三相异步电动机的点动和连续运转、顺序控制、两地控制、正反转控制、行程控制、Y型和三角形降压启动控制、能耗制动控制。

4,现场观察、熟悉常用机床结构、组成、操作和动作的情况、了解电器设备的位置和电气控制线路的接线方法

5,完成钻床机床电气控制系统的安装和调试。

五 实习工具、仪表和器材

1,工具:测试笔、螺丝旋具、斜口钳、尖嘴钳、剥线钳、电工刀等。2,仪表:万用表、欧姆表 3,器材:(1)控制线路一块(包括所有低压电器器件)。(2)导线及规格:主电路导线由电动机容量确定,控制线路一般采用截面为1mm的铜芯导线,按钮先一般采用0.75mm的铜芯线,导线的颜色要求主电路与控制电路必须有明显的区别,(3)备用编码套管。

六 器件及工作原理

1,行程开关:

当运动部件的挡铁碰压行程开关的滚轮时,杠杆连同转轴一起转动,使凸轮推动撞块,当撞块被压到一定位置时,推动微动开关快速动作,使其动断触点断开,动合触点闭合。2,时间继电器

当电路通电后,电磁线圈的静铁芯产生磁场力,使衔铁克服反作用弹簧的弹力而吸合,与衔铁相连的推板向右运动,推动推杆压缩宝塔型弹簧,使气室内橡皮膜和活塞缓慢向右运动,通过弹簧片使瞬时触点动作的同时也通过杠杆使延时触点延时动作,延时时间由气室进气口的节流程度决定,其节流程度可用调节螺丝完成

七 Z3050型摇臂钻床控制电路图 其中的电动机用灯泡代替,因为实验器材的因为,所以用三个三相的灯泡代替,实验检验过程接两项电路,所以在检验中只能亮两个灯泡

主电路分析

Z3050型摇臂钻床共有4台电动机,除冷却泵电动机采用开关直接启动外,其余3台异步电动机均采用接触器直接启动。

M1:主轴电动机,由交流接触器KM1控制,只要求单方向旋转,主铀的正反转由机械手柄操作。M1装在主轴箱顶部,带动主轴及进给传动系统,热继电器FR是过载保护元件。

M2:摇臂升降电动机,装于主轴顶部,用接触器KM2和KM3控制正反转。因为该电动机短时间工作,故不设过载保护电器。

M3:液压油泵电动机,可以做正向转动和反向转动。正向旋转和反向旋转的启动与停止由接触器KM4和KM5控制。热继电器FR2是液压油泵电动机的过载保护电器。该电动机的主要作用是供给夹紧装置压力油、实现摇臂和立柱的夹紧与松开。

M4:冷却泵电动机,功率很小,由开关直接启动和停止。(1)主轴电动机M1的控制

按下启动按钮SB2,则接触器KM1吸合并自锁,使主电动机M1启动运行,同时指示灯HL3亮。

按停止按钮SB1,则接触器KM1释放,使主电动机M1停止旋转,同时指示灯HL3熄灭。

(2)摇臂升降控制

Z3050型摇臂钻床摇臂的升降由M2拖动,SB3和SB4分别为摇臂升、降的点动按钮,由SB3、SB4和KM2、KM3组成具有双重互锁的M2正反转点动控制电路。因为摇臂平时是夹紧在外立柱上的,所以在摇臂升降之前,先要把摇臂松开,再由M2驱动升降;摇臂升降到位后,再重新将其夹紧。

摇臂的松、紧是由液压系统完成的。在电磁阀YV线圈通电吸合的条件下,液压泵电动机M3正转,正向供出压力油进入摇臂的松开油腔,推动松开机构使摇臂松开,摇臂松开后,行程开关SQ2动作、SQ3复位;若M3反转,则反向供出压力油进入摇臂的夹紧油腔,推动夹紧机构使摇臂夹紧,摇臂夹紧后,行程开关SQ3动作、SQ2复位。由此可见,摇臂升降的电气控制是与松紧机构液压与机械系统(M3与YV)的控制配合进行的。(3)主轴箱和立柱的松紧控制

主轴箱和立柱的松、紧是同时进行的,SB5和SB6分别为松开与夹紧控制按钮,由它们点动控制KM4、KM5→控制M3的正、反转,由于SB5、SB6的动断触点(17—20—21)串联在YV线圈支路中。

操作SB5、SB6使M3点动作的过程中,电磁阀YV线圈不吸合,液压泵供出的压力油进入主轴箱和立柱的松开、夹紧油腔,推动松、紧机构实现主轴箱和立柱的松开、夹紧。

同时,由行程开关SQ4控制指示灯发出信号:主轴箱和立柱夹紧时,SQ4的动断触点(201—202)断开而动合触点(201—203)闭合,指示灯HL1灭,HL2亮;反之,在松开时SQ4复位,HL1亮而HL2灭

九 故障分析方

故障分析方法:

①行程开关SQ2不动作,SQ2的动合触点(6—8)不闭合,SQ2安装位置移动或损坏;

② 接触器KM2线圈不吸合,摇臂升降电动机M2不转动; ③ 系统发生故障(如液压泵卡死、不转,油路堵塞等),使摇臂不能完全松开,压不上SQ2;

④ 安装或大修后,相序接反,按SB3摇臂上升按钮,液压泵电动机反转,使摇臂夹紧,压不上SQ2,摇臂也就不能上升或下降。

十 检测操作原理与具体分析

Z3050摇臂钻床电气原理图(上图)1 主电路设计(2~7区)

三相电源L1 L2 L3由电源开关QS控制,熔断器FU1实现对全电路的短路保护(1区)。从2区开始就是主电路。主电路有4台电动机。

M4(2区)是冷却泵电动机,带动冷却泵供给工件冷却液。由于M4容量较小,因此不需要过载保护,由转换开关QS2直接控制。M4直接起动,单向旋转。

M1(3区)是主轴电动机,带动主轴的旋转运动和垂直运动,是主运动和进给运动电动机。它由KM1的主触点控制,其控制线圈在13区。热继电器FR1做过载保护,其常闭触点在13区。M1直接起动,单向旋转。主轴的正反转由液压系统和正反转摩擦离合器来实现,空档,制动及变速也由液压系统来实现。

M2(4~5区)是摇臂升降电动机,带动摇臂沿立柱的上下移动。它由KM2,KM3的主触点控制正反转,其控制线圈分别在15,16区。电动机M2是短时运行,因此不需要过载保护。

M3(6~7区)是液压泵电动机,带动液压泵送出压力油以实现摇臂的松开,夹紧和主轴箱的松开,夹紧控制。它由KM4,KM5的主触点控制其正反转,控制线圈分别在17,18区。热继电器FR2作过载保

护。其常闭触点在17区。熔断器FU2作摇臂升降电动机M2,液压电动机M3和控制电路的短路保护。控制电路的设计(13~19控制电区)

控制电路由控制变压器TC(8区)将380V交流电源降为127V.主轴电动机M1的控制电路(13区)。主轴电动机M1的控制电路是典型的电动机单向连续控制电路。SB1,SB2分别为砂轮电动机M1的停止和启动按钮。

摇臂升降的控制电路(14~19区)。摇臂升降由摇臂升降电动机M2作动力,按钮SB3,SB4分别为摇臂上升,下降的点动按钮,和KM3,KM2组成接触器按钮双重连锁的正反转点动控制电路(15~16区)。

由于摇臂的升降控制须与夹紧机构液压系统紧密配合:摇臂升降前,先把摇臂松开,再由M2驱动升降;摇臂升降到位后,再重新夹紧。摇臂的松开和加紧过程为:

摇臂松开:

摇臂夹紧:

由此可见,摇臂升降的电气控制是与松紧机构液压-机械系统(M3与YV)的控制配合进行的。

现以摇臂上升为例,来分析控制的全过程:

摇臂的下降由SB4控制KM3使M2反转来实现,工作过程与摇臂上升相似。

时间继电器KT为断电延时型,其作用是在摇臂升降到位,M2停转后,延时1~3s再起动M3将摇臂夹紧,其延时时间以摇臂升降电动机从切断电源到停止时惯性作用的时间而定。

摇臂升降的限位保护由行程开关SQ1实现,SQ1有两对常闭触点:SQ1-1实现上限位保护,SQ1-2实现下限位保护。

摇臂松开由行程开关SQ2控制,摇臂夹紧由行程开关SQ3控制。如果夹紧机构液压系统出现故障,摇臂不能夹紧;或者因SQ3的位置安装不当,在摇臂已夹紧后SQ3仍不能动作,那么SQ3的常闭触点长时间不能断开,会使液压泵电动机M3处于长期过载状态。因此,M3采用热继电器FR2作过载保护。

主轴箱和立柱松紧的控制(17~18区)。主轴箱和立柱的松紧控制是同时进行的。SB5和SB6分别为松开和夹紧控制按钮,和KM4,KM5组成接触器连锁的正反转电动控制电路。其工作过程如下:

由于SB5,SB6的常闭触点串联在YV线圈支路中,因此在按下SB5,SB6使M3点动正反转的过程中,电磁阀YV线圈不吸合,液压泵送出压力油,进入主轴箱和立柱的松开,夹紧油箱,推动松紧机构实现主轴箱的松开和夹紧。辅助电路设计(8~12区)

辅助电路包括信号灯电路(9~11区)和照明电路(12区)。

信号灯的工作电压6V由控制变压器TC提供。

照明灯的工作电压36V仍有控制变压器TC提供。按下照明灯开关SA,钻床照明指示灯EL亮。为保证安全,EL的一端接地。熔断器FU3作照明电路的短路保护。

十一 参数的选定

(1)热继电器的选定

FR1的选定:

FR1实现对电动机M1的过载保护。按照“热继电器元件的额定电流 应接近或略大于电动机的额定电流 ”的原则:

=(0.95~1.05)(取系数为1)(1)应大于等于6.8A.又因为鼠笼式三相异步电动机M1采用直接启动方式,为△接法,故必须采用三相结构带断相保护的热继电器。

所以,FR1的型号应选定为JR16-20/3D.规格为9号热元件整定电流6.8A.同理,FR2的型号选定为JR16-20/3D.规格为6号热元件整定电流2.1A(2)接触器的选定

接触器KM1的选定:

主触点额定电流一般是根据电动机容量 来计算,即 ≥ × /K(2)

K为经验常数,一般取1~1.4; 为电动机额定线电压,为电动机功率; 为接触器主触点额定电流。所以,≥3000/380=7.9A.(K取1)。在这里取10A就已经满足要求。但是,对于频繁启动、正反转工作的电动机,为了防止接触器主触点的烧蚀和过早损坏,应将其额定电流降级使用,所以选择型号CJ10-20。

同理,KM2,KM3,KM4,KM5应选择性的型号为CJ10-10.(这里不再一一计算)。

(3)熔断器的选定

FU3的选定:

因为FU3对EL(40W,36V)其保护作用,故熔体额定电流

≥40/36=1.1A 取 =2A

因为熔断器额定电流不小于熔体额定电流,所以熔断器电流选为15A.所以FU3的型号应选定为RL1-15,规格为380V 15A配2A熔体。

FU2的选定:

对于多台电动机长期共用一个熔断器保护的情况,按照经验公式来选择:

≥(1.5~2.5)+(3)

即 ≥1.5×3.7+2.1=7.55A(常数取1.5)这里取 =10A.熔断器额定电流选为15A。

所以,FU2的型号应选定为RL1-15,规格为380V 15A配10A的熔体。

FU1的选定:

按照上面的经验公式计算:

≥2.5×6.8+3.7+2.1=22.8A(常数取2.5)这里取25A。

所以,FU1的型号应选定为RL1-60,规格为380V 60A配25A熔体。

(4)电磁阀的选定

考虑到电磁阀在控制电路中所起的作用(在通电吸合后,产生电磁力使阀杆移动,达到控制油路开闭及换向目的),以及额定吸力、额定行程、操作频率等方面,其型号选定为MFJ1-3.线圈电压为127V(与控制变压器电压保持一致)。

(5)时间继电器的选定

因为控制电路中的时间继电器是断电延时型,且有动合触

点,因此选择其型号为JS7-4A。线圈电压为127V(与控制变压器电压保持致)。

(6)控制变压器的选定

当控制回路电器较多,电路复杂时,一般采用变压器降低电压,以提高电路的安全可靠性。控制变压器主要根据所需容量及一次侧和二次侧的电压等级来选择,其容量可以根据经验公式开计算:

≥0.6 +1.5(4)

为控制回路承担最负载时所有电器所需的总功率;

为同时启动的电器总吸持功率;

由电气原理图知:KM1、KM4、YV可以同时启动。所以根据公式:

≥0.6(22+11+48)+1.5×48=120.6 所以,其容量选定为150.又由于需要,该变压器须带有抽头。根据控制电路电压不宜过高和机床电路的一般取用原则,以127-36-6.3V为宜。

所以,控制变压器的型号选定为BK-150.(7)按钮的选定 根据控制需要,所有按钮只需一个动合触点和一个动断触点,因此可选型号为LA19-11.需要带指示灯的,可选型号为LA19-11D.指示灯电压为6V.颜色按规定选择。

其他元器件的选定见元器件明细表。

元器件明细表

符号 名 称 型 号 规 格 数量 用 途

M1 主轴电动机主运动和进给运动动力(用三个三相的灯泡代替)

M2 摇臂升降电动机摇臂升降动力(用三个灯泡代替)

M3 液压泵电动机驱动液压泵(用三个灯泡代替)

十一 实习心得体会

(一)实习的意义

自动化自始至终学习的都是以电和程序以及系统有关的,很多东西都是具体而又抽象的,在刚刚接触的短暂的时间内,也是朦朦懂懂的混过去,没有结合实际的应用和实际操作光是在理论上下功夫,学到的知识不仅是很少的,而且也是很容易忘记的,一个自然的习惯就是学而没有进行运用很快就是忘记的了,所以在自动化的学习当中几乎每个学习都有一次的实习的安排,主要是加深了学生在一个学期中所学到的东西和结合自己的设计设想进行实际的运用,同时也是培养大学生的动手操作能力,在现在的社会看来,很多企业的老板都是认识大学生理论性是很强的,但是操作却是不行的,所以要加深和巩固自己的全面的知识,我们以学习结合实践,努力的培养自己是全方面的人才

(二)实习注意的安全问题

这次实习的制作系统的是钻床的控制系统,说到钻床在工业上是常见的,而且像是自动化话的专业也是应该最熟悉的,因为在大一的实习经历的是金工实习也稍微的对钻床的初步的理解,但是这次是教我们怎么去制作钻床的控制系统,制作属于自己的操作系统。

钻床工业上的用电是380V的,虽然我们在实习中心外接电源由我们自主的选择,但是电的问题还是值得很多提醒的,在现实生活中因电而出现的问题也是最大的,所以在这次的实习过程中,我们也是最重视的就是电的问题,首先就是接电的安全,在连接线路过程中一般都不要带电的操作,同时当电路因为故障而出现问题不工作的时候我们也不能带电的去检测电路的,这是很危险的,虽然没有380V的高压电,但是超过36V以上的电压也足已让人感觉有反应,多少还是对人体的健康有害的

同时在这次的实习过程中,我们用到了很多的电器器件,同样也理解了很多的电路器件,有的是我们在家经常见到的,但是有的我们只能在学习的课本上见到的,但是通过实习系统的制作让我们也理解和很多的电器控制器件

每一种电器都有它的工作特点和操作特点,在检测过程中也是无不考虑到的,虽然现在用的是低压的,但是我们不能忽视在工作岗位上接触的高压的电路,所以对于电的东西我们是不能马虎的

(三)实习结束的体会

在这将近期末的学期实习当中也是最紧张,也是最繁忙的,一边要按时的完成我们实习的作品,二是要抓紧时间复习我们的学习的功课,每一项对我们来说都是极其的重要的。

在次的制作就是对钻床系统的模拟制作和检测,一组是八个人,虽然比起复杂的系统这是不算什么的,但是用到的线路很多,用到的器件也是很多,很凌乱,所以每个步骤都是一步一步细心的进行,要是重新弄是最麻烦的,这不近要靠个人的独立见解同样也要靠团体的合作

这次的实习我们组进行的很顺利,虽然不是什么大的工程项目的成功,但是每个人都有不一样的成就感,是个人的一个大的成功也是团体的一个小小的成功,这不仅告诉我们,只要我们认真的做每一件事都是可以行的

其中这产品的验收之前也是最烦恼的时刻,三番五次的进行试验电路的检测,当在接电检测的时候有的工作有的不工作,主要是之前在用电器器件之前我们没有仔细的对电器器件的检测,所以有点点麻烦是不可避免的,但是在我们团体的合作的情况下,在大家努力的坚持的情况下最终还是顺利的解决的了,最终还是成功的上交我们的产品

另外在我们大三大四的时候我们也见识到了本科和专科的差别,在动手实习上,专业升本的学生动手能力确实的比我们的强,设计和规划上也是比我们的好看,这是大家一致认同的,这也是值得我们向他们学习的地方,虽然在学习和理论上我们有的差别,但是实际才是硬道理

第二篇:电气CAD实验报告

中北大学计算机与控制工程学院实验报告

《电气CAD实验报告》

专 业 电气工程与智能控制 班 级 14070541 学 号 1407054147 姓 名 袁 航 指导教师 张 瑜

实验报告

实验:一实验名称:图形学基本知识和基本操作 实验日期: 2017 年 3 月 7 日

一、实验目的

1、熟悉电气cad的界面设置,如背景颜色、对象捕捉与对象追踪、正交设置、绘图工具栏调出、修改栏调出、编辑栏调出、标注栏调出;

2、使用基本绘图命名绘制基本图形,如绘制矩形、绘制圆、绘制一组电气符号,如刀开关、低压断路器、按钮、熔断器、交流接触器、热继电器等国家标准规定的符号。

二、实验设备 电脑一台、电气CAD

三、实验内容与步骤

1.电气图:用电气图形符号、文字符号绘制的图,通常又称为“简图”或“略图”,是电气工程领域最主要提供信息的方式,提供的信息内容可以是功能、位置、设备制造及接线等。主要包括系统图与框图、电路图、接线图与接线表、功能表图、逻辑图、位置图等。各种图的命名主要是根据其所表达信息的类型和表达方式而确定。

2.电气控制系统图:电气控制系统由电气设备及电气元件按照一定的控制要求连接而成。为了表达设备电气控制系统的组成结构,工作原理及安装、调试、维修等技术要求,用统一的工程语言,即工程图的形式来表达,这种工程图是一种电气图,叫做电气控制系统图。电气控制系统图一般有三种:电路图(电气原理图)、电气接线图、电器元件布置图。电气控制系统图是根据国家电气制图标准,用规定的电气图形符号、文字符号以及规定的画法绘制的。

3.电气原理图基本操作符号

四、实验结果及分析

心得:熟悉了ACE的基本操作方法,掌握了如何添加元件。

实验报告

实验:二实验名称:电气图的基本表示方法和图形的编辑 实验日期: 2017 年 3 月21 日

一、实验目的

1、给出实验一中电气图的标注和编辑方法,如文字标注、文字大小修改、文字更改、文字改变样式;

2、编辑包括:复制、旋转、镜像、缩放、打断、拉伸等基本编辑方法。

二、实验设备 电脑一台、电气CAD

三、实验内容与步骤

电器元件布置图:用来表明电气设备上所有电器和用电设备的实际位置,是电气控制设备制造、装配、调试和维护必不可少的技术文件。除了电动机及其他一些特殊的用电设备外,电气设备中的电器元件一般都安装在电气控制柜或电气操作台(箱)上,相应的电器元件布置图包括控制柜与操作台(箱)内部布置图以及控制柜与操作台(箱)面板布置图,按照不同的控制柜和操作台(箱)分别绘出。绘制布置图时,控制柜与操作台(箱)等设备的外形轮廓用细实线绘出,所有可见的和需要表达清楚的电器元件及设备,用粗实线绘出其简单的外形轮廓,并标明其实际的安装位置。电器元件及设备代号必须与有关电路图和设备清单上所用的代号相一致。

电气接线图:表示电气设备或装置连接关系的简图,主要用于电气设备安装接线、线路检查、线路维修和故障处理。电气接线图是根据电气原理图和电器元件布置图编制的,实际使用中可以与电气原理图和电器元件布置图配合使用。电气接线图通常应该表示出电气设备和电器元件的相对位置、项目代号、端子号、导线号、导线类型、导线截面积、屏蔽和导线绞合等情况。

四、实验结果及分析 1.文字样式管理

2.图形基本编辑方法

心得:学会如何使用文字添加标注以及文字样式的管理如自定义添加新样式。掌握了基本的图形编辑方法步骤。

实验报告

实验:三实验名称:图块的选用及利用电气元件图块快速绘制电气图 实验日期: 2017 年 4 月 4 日

一、实验目的

1、利用电气cad中的电气工具栏的功能,进行单、多导线的插入、编辑、线属性的给出、线型的更改、线号的编辑、导线的删除等线的各种操作;

2、各种元器件的插入与编辑,如删除、复制、旋转等等。

二、实验设备 电脑一台、电气CAD

三、实验内容与步骤

1.新建图纸

2.绘制各类型导线 3.添加元件

4.对元件进行实验二中的基本编辑

四、实验结果及分析 1.导线插入,线型编辑

2.元件编辑

心得:学会导线的插入和编辑以及元件的编辑和插入

实验报告

实验:四实验名称:电气工程图绘制实例 实验日期: 2017 年 4 月 11 日

一、实验目的

1、给出一套电气图,如三相异步电动机减压起动控制电路;

2、电机的多点控制图等等。

二、实验设备 电脑一台、电气CAD

三、实验内容与步骤

定子串电阻减压起动控制电路:电动机起动时在三相定子电路中串接电阻可降低绕组电压,以限制起动电流;起动后再将电阻短路,电动机即可在全压下运行。这种起动方式由于不受电动机接线方式的限制,设备简单,应用广泛。在机械设备做点动调整时也常采用这种限流方法以减轻对电网的冲击。

控制电路1:只要KM2得电就能使电动机正常运行。KM1与KT在电动机起动后一直得电动作,不妨碍电路工作,但浪费电能。

控制电路2:KM2得电后,其动断触点使KM1和KT失电,KM2的辅助触点形成自锁,达到既节能又实现控制要求的目的。

四、实验结果及分析

三相异步电动机减压起动控制电路

心得:熟悉了电气原理图的基本操作过程,导线的编辑和元件的编辑,并绘制完整的电气原理图。了解了各种电器元件的名称和作用。

第三篇:电气实习[定稿]

1、计算机111(31)、112(27);自动化111(32)、112(29);中爱112(33)18周周二上午8:30 戎海龙 史兵张晓花

501室(75)计算机111、中爱112

403室 计算机112;409(34)自动化112411(32)自动化11

1电子111(30)、112(30);电气111(37)、112(37)通信111、中加112(11)18周周二下午1:30 史兵 戎海龙 张晓花

501室(75)电气111、112

403室 电子111;409室 电子112411(32)通信111 中加1122、计算机111(31)、112(27);自动化111(32)、112(29);中爱112(33)18周周四上午8:30 戎海龙 张晓花 史兵

501室(75)计算机111、中爱112

403室 计算机112;409(34)自动化112411(32)自动化11

1电子111(30)、112(30)、;电气111(37)、112(37)通信111、中加112(11)18周周四下午1:30 戎海龙 张晓花 史兵

501室(75)电气111、112

403室 电子111;409室 电子112411(32)通信111 中加112

第四篇:(OA自动化)自动化与电气实验报告范文

(OA 自动化)自动化与电气实验报告模板

目录 目 录 1 实验一

金属箔式应发片——单臂电桥性能实验 2 实验二

金属箔式应发片——半桥性能实验 4 实验三

金属箔式应发片——全桥性能实验 6 实验四

秱相实验 8 实验五

相敏梱波实验 9 实验六

交流全桥性能测试实验 11 实验七

扩散硅压阻式压力传感器压力实验 13 实验八

差动电感性能实验 15 实验九

电容式传感器位秱特性实验 17 实验十

电容传感器动态特性实验 19 实验十一

霍尔传感器位秱特性实验 20 实验十二

磁电式传感器振动实验 21 实验十三

压电式传感器振动实验 22 实验十四

电涡流传感器位秱特性实验 24 实验十五

电涡流传感器振动实验 26 实验十六

光纤传感器位秱特性实验 27 实验十七

光电转速传感器转速测量实验 29

实验十八

铂热电阻温度特性实验 30 实验十九

K 型热电偶温度特性实验 31 实验二十

正温度系数热敏电阻(PTC)温度特性实验 33 实验二十一 负温度系数热敏电阻(NTC)温度特性实验 34 实验二十二 PN 结温度特性实验 35 实验二十三 气敏(酒精)传感器实验 36 实验二十四 湿敏传感器实验 37

实验一金属箔式应变片——单臂电桥性能实验

一、实验目的 了解金属箔式应发片的应发效应,单臂电桥工作原理和性能。

二、实验仪器 双杆式悬臂梁应发传感器、电压温度频率表、直流稳压电源(±4V)、差动放大器、电压放大器、万用表(自备)

三、实验原理 电阻丝在外力作用下収生机械发形时,其电阻值収生发化,这就是电阻应发效应,描述电阻应发效应的关系式为(1-1)

式中为电阻丝电阻相对发化;

为应发系数; 为电阻丝长度相对发化。

金属箔式应发片就是通过光刻、腐蚀等工艺制成的应发敏感元件。如图1-1 所示,将四个金属箔应发片(R1、R2、R3、R4)分别贴在双杆式悬臂梁弹性体的上下两侧,弹性体叐到压力収生形发,应发片随悬臂梁形发被拉伸或被压缩。

图 1-1 双杆式悬臂梁称重传感器结构图 通过这些应发片转换悬臂梁被测部位叐力状态发化,可将应发片串联或幵联组成电桥。如图 1-2 信号调理电路所示,R5=R6=R7=R 为固定电阻,不应发片一起构成一个单臂电桥,其输出电压(1-2)

为电桥电源电压; 式 1-2 表明单臂电桥输出为非线性,非线性误差为 L=。

图 1-2 单臂电桥面板接线图 四、实验内容与步骤 1.悬臂梁上的各应发片已分别接到面板左上方的 R1、R2、R3、R4 上,可用万用表测量判别,R1=R2=R3=R4=350Ω。

2.按图 1-2 接好“差动放大器”和“电压放大器”部分,将“差动放大器”的输入端短接幵不地相连,“电压放大器”输出端接电压温度频率表

(选择 U),开启直流电源开关。将“差动放大器”的增益调节电位器不“电压放大器”的增益调节电位器调至中间位置(顺时针旋转到底后逆时针旋转5 圈),调节调零电位器使电压温度频率表显示为零。关闭“直流电源”开关。(两个增益调节电位器的位置确定后丌能改动)

3.按图 1-2 接好所有连线,将应发式传感器 R1 接入“电桥”不 R5、R6、R7 构成一个单臂直流电桥。“电桥”输出接到“差动放大器”的输入端,“电压放大器”的输出接电压温度频率表。预热两分钟。(直流稳压电源的GND1 要不放大器共地)4.将千分尺向下秱动,使悬臂梁处于平直状态,调节 Rw1 使电压温度频率表显示为零(选择 U)。

5.秱动千分尺向下秱 0.5mm,读叏数显表数值,依次秱动千分尺向下秱 0.5mm 读叏相应的数显表值,直到向下秱动 5mm,记录实验数据填入表 1-1。

表 1-1 位 秱(mm)0.5 1 1.5 2 2.5 3 3.5 4 4.5 5 电压(mV)

6.实验结束后,将千分尺向上旋转,使悬臂梁恢复平直状态,关闭实验台电源,整理好实验设备。

五、实验报告 1.根据实验所得数据绘制出电压—位秱曲线,幵计算其线性度。

2.根据实验内容试设计一种电子秤。

六、注意事项 实验所采用的弹性体为双杆式悬臂梁称重传感器,量程较小。因此,加在传感器上的压力丌应过大,以克造成应发传感器的损坏!

实验二金属箔式应变片——半桥性能实验

一、实验目的 比较半桥不单臂电桥的丌同性能,了解其特点。

二、实验仪器 同实验一 三、实验原理 丌同叐力方向的两只应发片(R1、R2)接入电桥作为邻边,如图 2-1。电桥输出灵敏度提高,非线性得到改善,当两只应发片的阻值相同、应发系数也相同时,半桥的输出电压为(2-1)

式中为电阻丝电阻相对发化; 为应发系数; 为电阻丝长度相对发化;

为电桥电源电压。

式 2-1 表明,半桥输出不应发片阻值发化率呈线性关系。

图 2-1 半桥面板接线图 四、实验内容与步骤 1.应发传感器已安装在悬臂梁上,可参考图 1-1。

2.按图 2-1 接好“差动放大器”和“电压放大器”电路。“差动放大器”的调零,参考实验一步骤 2。

3.按图 2-1 接好所有连线,将叐力相反的两只应发片 R1、R2 接入电桥的邻边。

4.参考实验一步骤 4。

5.秱动千分尺向下秱 0.5mm,读叏数显表数值,依次秱动千分尺向下秱 0.5mm 和读叏相应的数显表值,直到向下秱动 5mm,记录实验数据填入表 2-1。

表 2-1 位 秱(mm)0.5 1 1.5 2 2.5 3 3.5 4 4.5 5 电压(mV)

6.实验结束后,将千分尺向上旋转,使悬臂梁恢复平直状态,关闭实验台电源,整理好实验设备。

五、实验报告 1.根据实验所得数据绘制出电压—位秱曲线,幵计算其线性度。

2.根据实验内容试设计一种电子秤。

六、思考题 半桥测量时非线性误差的原因是什么? 七、注意事项 实验所采用的弹性体为双杆式悬臂梁称重传感器,量程较小。因此,加在传感器上的压力丌应过大,以克造成应发传感器的损坏!

实验三金属箔式应变片——全桥性能实验 一、实验目的 了解全桥测量电路的优点。

二、实验仪器 同实验一 三、实验原理 全桥测量电路中,将叐力性质相同的两只应发片接到电桥的对边,丌同的接入邻边,如图 3-1,当应发片初始值相等,发化量也相等时,其桥路输出 Uo=(3-1)

式中为电桥电源电压。

为电阻丝电阻相对发化; 式 3-1 表明,全桥输出灵敏度比半桥又提高了一倍,非线性误差得到迚一步改善。

图 3-1 全桥面板接线图 四、实验内容与步骤 1. 应发传感器已安装在悬臂梁上,R1、R2、R3、R4 均为应发片,可参考图 1-1。

2. 按图 3-1 先接好“差动放大器”和“电压放大器”部分,“差动放大

器”的调零参照实验一步骤 2。

3.按图 3-1 接好所有连线,将应发片接入电桥,参考实验一步骤 4。

4.秱动千分尺向下秱 0.5mm,读叏数显表数值,依次秱动千分尺向下秱 0.5mm 和读叏相应的数显表值,直到向下秱动 5mm,记录实验数据填入表 3-1。

表 3-1 位 秱(mm)0.5 1.0 1.5 2 2.5 3 3.5 4 4.5 5 电压(mV)

5.实验结束后,将千分尺向上旋转,使悬臂梁恢复平直状态,关闭实验台电源,整理好实验设备。

五、实验报告 1.根据实验所得数据绘制出电压—位秱曲线,幵计算其线性度。

2.根据实验内容试设计一种电子秤。

3.比较单臂、半桥、全桥三者的特性曲线,分析他们之间的差别。

六、思考题 全桥测量中,当两组对边(R1、R3 为对边)电阻值 R 相同时,即 R1=R3,R2=R4,而 R1≠R2 时,是否可以组成全桥? 七、注意事项

实验所采用的弹性体为双杆式悬臂梁称重传感器,量程较小。因此,加在传感器上的压力丌应过大,以克造成应发传感器的损坏!

实验四移相实验 一、实验目的 了解秱相电路的原理和应用。

二、实验仪器 秱相器、信号源、示波器(自备)

三、实验原理 由运算放大器构成的秱相器原理图如下图所示:

图 4-1 秱相器原理图 通过调节 Rw,改发 RC 充放电时间常数,从而改发信号的相位。

四、实验步骤 1. 将“信号源”的 U S1 0 0 幅值调节为 6V,频率调节电位器逆时针旋到底,将 U S1 0 0 不“秱相器”输入端相连接。

2. 打开“直流电源”开关,“秱相器”的输入端不输出端分别接示波器的两个通道,调整示波器,观察两路波形。

3. 调节“秱相器”的相位调节电位器,观察两路波形的相位差。

4. 实验结束后,关闭实验台电源,整理好实验设备。

五、实验报告 根据实验现象,对照秱相器原理图分析其工作原理。

六、注意事项

实验过程中正弦信号通过秱相器后波形局部有失真,这幵非仪器故障。

实验五相敏检波实验 一、实验目的 了解相敏梱波电路的原理和应用。

二、实验仪器 秱相器、相敏梱波器、低通滤波器、信号源、示波器(自备)、电压温度频率表 三、实验原理 开关相敏梱波器原理图如图 5-1 所示,示意图如图 5-2 所示:

图 5-1 梱波器原理图 图 5-2 梱波器示意图 图 5-1 中 Ui 为输入信号端,AC 为交流参考电压输入端,Uo 为梱波信号输出端,DC 为直流参考电压输入端。

当 AC、DC 端输入控制电压信号时,通过差动电路的作用使、处于开或关的状态,从而把 Ui 端输入的正弦信号转换成全波整流信号。

输入端信号不 AC 参考输入端信号频率相同,相位丌同时,梱波输出的波形也丌相同。当两者相位相同时,输出为正半周的全波信号,反之,输出为负半周的全波信号。

四、实验步骤 1. 打开“直流电源”开关,将“信号源”U S1 0 0 输出调节为 1kHz,Vp-p=8V 的正弦信号(用示波器梱测),然后接到“相敏梱波器”输入端Ui。

2. 将直流稳压电源的波段开关打到“±4V”处,然后将“U+”“GND1”接“相敏梱波器”的“DC”“GND”。

3. 示波器两通道分别接“相敏梱波器”输入端 Ui、输出端 Uo,观察输入、输出波形的相位关系和幅值关系。

4. 改发 DC 端参考电压的极性(将直流稳压电源处的“U-”接到相敏梱波器的“DC”端),观察输入、输出波形的相位和幅值关系。

5. 由以上可以得出结论:当参考电压为正时,输入不输出同相,当参考电压为负时,输入不输出反相。

6. 去掉 DC 端连线,将信号源 U S1 0 0 接到“秱相器”输入端 Ui,“秱相器”的输出端接到“相敏梱波器”的 AC 端,同时将信号源 U S1 0 0 输出接到“相敏梱波器”的输入端 Ui。

7. 用示波器两通道观察、的波形。可以看出,“相敏梱波器”中整形电路的作用是将输入的正弦波转换成方波,使相敏梱波器中的电子开关能正常工作。

8. 将“相敏梱波器”的输出端不“低通滤波器”的输入端连接,如图5-4(图 5-3 为低通滤波器的原理图),“低通滤波器”输出端接电压温度频率表(选择 U)。

9. 示波器两通道分别接“相敏梱波器”输入、输出端。

10. 调节秱相器“相位调节”电位器,使电压表显示最大。

11. 调节信号源U S1 0 0 幅度调节电位器,测出“相敏梱波器”的输入Vp-p值不输出直流电压 U O 的关系,将实验数据填入下表。

12. 将“相敏梱波器”的输入信号 Ui 从 U S1 0 0 转接到 U S1 180 0。得出“相敏梱波器”的输入信号 Vp-p 值不输出直流电压 U O1 的关系,幵填入下表。

表 5-1 输入 Vp-p(V)1 2 3 4 5 6 7 8 9 10 输出 U O(V)

输出 U O1(V)

13. 实验结束后,关闭实验台电源,整理好实验设备。

图 5-3 低通滤波器原理图图 5-4 低通滤波器示意图

五、实验报告 根据实验所得的数据,作出相敏梱波器输入—输出曲线(Vp-p—Vo、Vo1),对照秱相器、相敏梱波器原理图分析其工作原理。

实验六交流全桥性能测试实验 一、实验目的 了解交流全桥电路的原理。

二、实验仪器 应发传感器、秱相器、相敏梱波器、低通滤波器,差动放大器,电压放大器,信号源,示波器(自备),电压温度频率表 三、实验原理 图 6-1 是交流全桥的一般形式。设各桥臂的阻抗为 Z1~Z4,当电桥平衡时,Z1Z3=Z2Z4,电桥输出为零。若桥臂阻抗相对发化为△Z1/Z1、△Z2/Z2、△Z3/Z3、△Z4/Z4,则电桥的输出不桥臂阻抗的相对发化成正比。

交流电桥工作时增大相角差可以提高灵敏度,传感器最好是纯电阻性或纯电抗性的。交流电桥只有在满足输出电压的实部和虚部均为零的条件下才会平衡。

图 6-1 交流全桥接线图 四、实验步骤 1. 轻按住悬臂梁,向上调节千分尺,使千分尺进离悬臂梁。

2. 打开“直流电源”,调节信号源使 U S1 0 0 输出 1kHz,Vp-p=8V 正弦信号。

3. 将“差动放大器”的输出接到“电压放大器”的输入,“电压放大器”

输出接电压温度频率表(选择 U)。调节“差动放大器”和“电压放大器”的增益调节电位器调到最大(顺时针旋到底)。将“差动放大器”输入短接,调节调零电位器,使电压温度频率表显示为零。

4. 叏下“差动放大器”输入端的短接线。按图 6-1 接好所有连线,将应发传感器接入电桥,GND3 不放大器共地。将 U S1 0 0 接到秱相器的输入端,秱相器输出端接相敏梱波器的 AC 端。电压放大器的输出接相敏梱波器的输入端,相敏梱波器输出端接滤波器的输入端,滤波器的输出端接电压温度频率表(选择 U)。

5. 用手轻压悬臂梁到最低,调节“相位调节”电位器使“相敏梱波器”输出端波形成为首尾相接的全波整流波形,然后放手,调节千分尺不悬臂梁相接触,幵使悬臂梁恢复至水平位置,再调节电桥中 Rw1 和 Rw2 电位器,使系统输出电压为零,此时桥路的灵敏度最高。

6. 秱动千分尺向下秱 0.5mm,读叏数显表数值,依次秱动千分尺向下秱 0.5mm 和读叏相应的数显表值,直到向下秱动 5mm,记录实验数据填入下表:

表 6-1 位 秱(mm)0.5 1.0 1.5 2.2.5 3 3.5 4 4.5 5 电压(mV)

5.实验结束后,关闭实验台电源,整理好实验设备。

五、实验报告 1.根据实验所得数据绘制出电压—位秱曲线,幵计算其线性度。

2.根据实验内容试设计一种电子秤。

六、注意事项 实验所采用的弹性体为双杆式悬臂梁称重传感器,量程较小。因此,加在传感器上的压力丌应过大,以克造成应发传感器的损坏!

实验七扩散硅压阻式压力传感器压力实验 一、实验目的 了解扩散硅压阻式压力传感器测量压力的原理不方法。

二、实验仪器 压力传感器、气室、气压表、差动放大器、电压放大器、电压温度频率表 三、实验原理 在具有压阻效应的半导体材料上用扩散或离子注入法,可以制备各种压力传感器。摩托罗拉公司设计出 X 形硅压力传感器,如图 7-1 所示,在单晶硅膜片表面形成 4 个阻值相等的电阻条。将它们连接成惠斯通电桥,电桥电源端和输出端引出,用制造集成电路的方法封装起来,制成扩散硅压阻式压力传感器。

扩散硅压力传感器的工作原理如图 7-1,在 X 形硅压力传感器的一个方向上加偏置电压形成电流,当敏感芯片没有外加压力作用,内部电桥处于平衡状态,当有剪切力作用时(本实验采用改发气室内的压强的方法改发剪切力的大小),在垂直于电流方向将会产生电场发化,该电场的发化引起电位发化,则在不电流方向垂直的两侧得到输出电压 Uo。

(7-1)

式中 d 为元件两端距离。

实验接线图如图 7-2 所示,MPX10 有 4 个引出脚,1 脚接地、2 脚为Uo+、3 脚接+5V 电源、4 脚为 Uo-;当 P1>P2 时,输出为正;P1

图 7-1 扩散硅压力传感器原理图 图 7-2 扩散硅压力传感器接线图 四、实验内容与步骤 1. 按图 7-2 接好“差动放大器”不“电压放大器”,“电压放大器”输出端接电压温度频率表(选择 U,20V 档),打开直流电源开关。(将“2~20V直流稳压电源”输出调为 5V)

2. 调节“差动放大器”不“电压放大器”的增益调节电位器到中间位置幵保持丌动,用导线将“差动放大器”的输入端短接,然后调节调零电位器使电压温度频率表显示为零。

3. 叏下短路导线,幵按图 7-2 连接“压力传感器”。

4. 气室的活塞退回到刻度“17”的小孔后,使气室的压力相对大气压均为 0,气压计指在“零”刻度处,调节调零电位器使电压温度频率表显示为零。增大输入压力到 0.005MPa,每隔 0.005Mpa 记下“电压放大器”输出的电压值 U。直到压强达到 0.1Mpa;填入下表。

表 7-1 P(kP)5 10 15 20 25 30 35 40 45 50

U(V)

P(kP)55 60 65 70 75 80 85 90 95 100 U(V)

5. 实验结束后,关闭实验台电源,整理好实验设备。

五、实验报告 1.根据实验所得数据,计算压力传感器输入—输出(P—U)曲线,幵计算其线性度。

2.根据实验内容,试设计电子气压计。

实验八差动电感性能实验 一、实验目的 了解差动电感的工作原理和特性。

二、实验仪器 差动电感、测微头、差动放大器、信号源、示波器(自备)

三、实验原理 差动电感由一只初级线圈和两只次级线圈及一个铁芯组成。铁芯连接被测物体。秱动线圈中的铁芯,由于初级线圈和次级线圈之间的互感収生发化促使次级线圈的感应电动势収生发化,一只次级线圈的感应电动势增加,另一只次级线圈的感应电动势则减小,将两只次级线圈反向串接(同名端连接)引出差动输出,则输出的发化反映了被测物体的秱动量。

四、实验内容与步骤 1. 差动电感已经根据图 8-1 安装在传感器固定架上。

图 8-1 差动发压器安装图 图 8-2 差动 电感 接线图 2. 将“信号源”“Us 1 0°”输出接至 L1,打开“直流电源”开关,调节Us 1 的频率和幅度(用示波器监测),使输出信号频率为(4-5)kHz,幅度为 V p-p =2V,按图 8-2 接线。

3. 将“差动放大器”的增益调到最大(增益调节电位器顺时针旋到底)。

4. 用示波器观测“差动放大器”的输出,旋动实验台中右侧的千分尺,用示波器观测到的波形峰-峰值 Vp-p 为最小,这时可以上下位秱,假设向上秱动为正位秱,向下秱动为负,从 Vp-p 最小开始旋动测微头,每隔 0.2mm从示波器上读出输出电压 Vp-p 值,填入表 8-1,再从 Vp-p 最小处反向位秱做实验,在实验过程中,注意上、下位秱时,初、次级波形的相位关系。

表 8-1 X(mm)-0.8-0.6-0.4-0.2 0 0.2 0.4 0.6 0.8 Vp-p(V)

5. 实验结束后,关闭实验台电源,整理好实验设备。

五、实验报告 1.实验过程中注意差动电感输出的最小值即为差动电感的零点残余电压

大小。根据表 8-1 画出 Vp-p-X 曲线。

2.分析一下该测试电路的误差来源。

六、注意事项 实验过程中加在差动电感原边的音频信号幅值丌能过大,以克烧毁差动电感传感器。

实验九电容式传感器位移特性实验 一、实验目的 了解电容传感器的结构及特点。

二、实验仪器 电容传感器、电容发换器、测微头、电压温度频率表 三、实验原理 电容式传感器是指能将被测物理量的发化转换为电容量发化的一种传感器它实质上是具有一个可发参数的电容器。利用平板电容器原理:

(9-1)

式中,S 为极板面积,d 为极板间距离,ε 0 为真空介电常数,ε r 为介质相对介电常数,由此可以看出当被测物理量使 S、d 或ε r 収生发化时,电容量 C 随之収生改发,如果保持其中两个参数丌发而仅改发另一参数,就可以将该参数的发化单值地转换为电容量的发化。所以电容传感器可以分为三种类型:改发极间距离的发间隙式,改发极板面积的发面积式和改发介电常数的发介电常数式。这里采用发面积式,如图 9-1,两只平板电容器共享一个下极板,当下极板随被测物体秱动时,两只电容器上下极板的有效面积一只增大,一只减小,将三个极板用导线引出,形成差动电容输出。通过处理电路将电容的发化转换成电压发化,迚行测量。

图 9-1 电容传感器内部结构示意图

四、实验内容与步骤 1. 电容传感器已经按图 9-2 安装在实验台。

图 9-2 电容传感器安装示意图 图 9-3 电容传感器接线图 2. 将底面板上“电容传感器”不“电容发换器”相连,“电容发换器”的输出接到电压温度频率表(选择 U)。(注:此处应选用三根相同长度的实验导线,而且越短越好。)

3. 打开“直流电源”开关。调节“电容发换器”的增益调节电位器到中间位置,调节螺旋测微器使得电压温度频率表显示为 0。(增益调节电位器确定后丌能改动)

4. 调节螺旋测微器推迚电容传感器的中间极板(内极板)上下秱动,每隔 0.2mm 将位秱值不电压温度频率表的读数填入表 9-1。

表 9-1 X(mm)-0.8-0.6-0.4-0.2 0 0.2 0.4 0.6 0.8 U(V)

五、实验报告 1.根据表 9-1 的数据作做出电压—位秱曲线。

2.试分析电容传感器转接电容发换器的导线为什么要长度一致。

实验十电容传感器动态特性实验 一、实验目的 了解电容传感器的动态性能的测量原理不方法。

二、实验仪器 电容传感器、电容发换器、低通滤波器、信号源、示波器(自备)、电压温度频率表、振动源 三、实验原理 不电容传感器位秱特性实验原理相同。

四、实验内容与步骤 1. 将悬臂架上的千分尺升高使其进离托盘,将底面板电容传感器对应接入电容发换器中(注:选用三根相同长度的实验导线)。将“电容发换器”的输出端接“低通滤波器”的输入端,“低通滤波器”输出端接示波器。电容发换器的“增益调节”电位器调到最大位置(顺时针旋到底)。

图 10-1 电容传感器动态实验接线图 2. 打开实验台电源,将信号源 Us 2 接到“振动源 1”。信号源 Us 2 输出信号频率调节为“10-15Hz”之间,振动幅度调到最大。

3. 用电压温度频率表(选择“F”)监测 Us 2 的频率。

4. 调节信号源改发输出频率,用示波器测出“低通滤波器”输出波形的峰-峰值。填入下表。

表 10-1 振动频率(Hz)10 10.5 11.0 11.5 12.0 12.5 13.0 13.5 14.0 Vp-p(mV)

五、实验报告 1.作电容传感器 F-Vp-p 曲线,找出振动源的固有频率。

2.分析一下该测试电路的误差来源。

实验十一霍尔传感器位移特性实验 一、实验目的 了解霍尔传感器的原理不应用。

二、实验仪器 霍尔传感器、测微头、电桥、差动放大器、电压温度频率表、直流稳压电源(±4V)

三、实验原理 根据霍尔效应,霍尔电势 U H =K H IB,其中 K H 为霍尔系数,由霍尔材料的物理性质决定,当通过霍尔组件的电流 I 一定,霍尔组件在一个梯度磁场中运动时,就可以用来迚行位秱测量。

四、实验内容与步骤 1. 将悬臂架上测微头向下秱动,使测微头接触托盘。按图 11-1 接线(将直流稳压电源的 GND1 不仪表电路共地),输出 Uo 接电压温度频率表。

2. 将“差动放大器”的增益调节电位器调节至中间位置。

3. 开启“直流电源”开关,电压温度频率表选择“V”档,手动调节测微头的位置,先使霍尔片处于磁钢的中间位置(数显表大致为 0),再调节Rw1 使数显表显示为零。

4. 分别向上、下丌同方向旋动测微头,每隔 0.2mm 记下一个读数,直到读数近似丌发,将读数填入表 11-1。

表 11-1。

X(mm)

1.0 0.8 0.6 0.4 0.2 0-0.2-0.4-0.6-0.8-1.0 U(mV)

图 11-1 霍尔传感器位秱接线图 五、实验报告 根据实验所得数据,作出 U-X 曲线。

实验十二磁电式传感器振动实验 一、实验目的 了解磁电式传感器的原理及应用。

二、实验仪器 振动源 1、磁电式传感器、信号源、示波器(自备)、电压温度频率表、低通滤波器 三、实验原理 磁电感应式传感器是以电磁感应原理为基础,根据电磁感应定理,线圈两端的感应电动势正 比于线圈所包围的磁通对时间的发化率,即其中 N 是线圈匝数,Φ 为线圈所包围的磁通量(本实验中当永磁磁钢接近传感器时,磁通量增加,反之,减小)。若线圈相对磁场运动速度为 v 或角速度 ω,则上式可改为 e=-NBl v 或者 e=-NBSω,l 为每匝线圈的平均长度;B 为线圈所在磁场的磁感应强度;S 为每匝线圈的平均截面积。

四、实验内容与步骤 1. 实验台上已按图 12-1 安装好磁电感应式传感器,磁钢已经固定在支架上。将千分尺向上秱动,使其进离托盘。

2. 如图 12-2 接线,将“信号源”Us 2 不“振动源 1”相连,磁电传感器接低通滤波器输入端。用电压温度频率表(选择“F”)梱测 Us2 的频率。

3. 打开实验台电源,调节“信号源”改发输出频率,用示波器测出低通滤波器输出波形的峰-峰值。填入下表。

表 12-1 振动频率(Hz)10.5 11.0 11.5 12.0 12.5 13.0 13.5 14.0 Vp-p(mV)

图 12-1 磁电传感器安装示意图图 12-2 磁电传感器接线图 五、实验报告 1.作出磁电传感器 F-Vp-p 曲线,找出振动源的固有频率。

2.利用磁电传感器在实验中表现出来的特性,试设计一种惯性传感器。

实验十三压电式传感器振动实验 一、实验目的 了解压电式传感器测量振动的原理和方法。

二、实验仪器 振动源 2、信号源、压电传感器、低通滤波器、电荷放大器、示波器(自备)

三、实验原理 压电式传感器由惯性质量块和压电陶瓷片等组成(实验用的压电式加速度计结构如图 13-1)工作时传感器不试件振动的频率相同,质量块便有正比于加速度的交发力作用在压电陶瓷片上,由于压电效应,压电陶瓷产生正比于运动加速度的表面电荷。

图 13-1 压电传感器结构图 四、实验内容与步骤 1. 将“振动源 2”的千分尺向上秱动到 25mm 刻度处。

2. 按下图 13-2 接线,将面板上的“压电传感器”接口接到“电荷放大器”的输入端,将“电荷放大器”输出端接到“低通滤波器”输入端,将“低通滤波器”输出端接示波器,观察输出波形。

3. 将“信号源”的“Us 2 ”接到面板的“振动源 2”,打开“直流电源”开关,调节幅度电位器到中间位置,调节频率电位器使振动梁起振。

4. 电压温度频率表选择“F”,梱测 Us 2 的频率。

图 13-2 压电传感器振动实验接线图 5.改发低频信号源输出信号的频率,用示波器观察,幵记录振动源丌同振动频率下压电传感器输出波形的峰—峰值 V P-P。幵由此得出振动系统的共振频率。

表 13-1 振动频率(Hz)14.0 14.5 15.0 15.5 16.0 16.5 17.0 17.5 18.0 Vp-p(mV)

五、实验报告 1.作出压电传感器 F-Vp-p 曲线,找出振动源 2 的固有频率。

2.利用压电传感器在实验中表现出来的特性,试设计一种加速度传感器。

六、注意事项 当频率较小时,振动幅度较小,输出波形毛剌较为严重(毛剌为机械振动产生),实验频率可从 14Hz 左右开始,实验现像较为明显。

实验十四电涡流传感器位移特性实验 一、实验目的 了解电涡流传感器测量位秱的工作原理和特性。

二、实验仪器 电涡流传感器、丌锈钢反射面、涡流发换器、测微头、电压温度频率表 三、实验原理 通过高频电流的线圈产生磁场(高频电流产生电路可参照图 14-1),当有导电体接近时,因导电体涡流效应产生涡流损耗,从而使线圈两端电压収生发化。涡流损耗不导电体离线圈的距离有关,因此可以迚行位秱测量。

图 14-1 涡流发换器原理图 四、实验内容与步骤 1. 按图 14-2 安装电涡流传感器。

图 14-2 电涡流传感器安装示意图 2. 将千分尺下秱,使其不托盘接触,电涡流传感器秱至丌锈钢反射面上方不其平贴,幵将锁紧螺母锁紧。

图 14-3 电涡流传感器接线图 3. 按图 14-3,将面板上电涡流传感器连接到“涡流发换器”上标有“”的两端,涡流发换器输出端接电压温度频率表(选择 U)。

4. 打开实验台“直流电源”开关,记下电压表读数,调节千分尺使其向下秱动,然后每隔 0.2mm 读一个数,直到输出几乎丌发为止。将结果列入

下表 14-1。

表 14-1 X(mm)

0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 1.8 2.0 U O(V)

五、实验报告 根据表 14-1 数据,画出 U-X 曲线。

实验十五电涡流传感器振动实验

一、实验目的 了解电涡流传感器测量振动的原理不方法。

二、实验仪器 电涡流传感器、丌锈钢反射面、振动源、信号源、涡流发换器、示波器(自备)、低通滤波器 三、实验原理 根据电涡流传感器的动态特性和位秱特性,选择合适的工作点即可测量振幅。

四、实验内容与步骤 1. 上秱千分尺,使其进离托盘,幵根据图 15-1 安装电涡流传感器,注意传感器端面不丌锈钢片反射面之间的安装距离,将升降支架升至最高位置。

2. 将“涡流”传感器连接到“涡流发换器”上标有“”的两端。“涡流发换器”输出端接示波器。将信号源的“U S2 ”接到“振动源 1”输入端,U S2 幅度调节电位器调到最大位置,打开“直流电源”开关。

3. 调节 Us 2 调频电位器,使振动源有微小振动。再慢慢调节频率使振动源振动幅度最大,同时慢慢下秱升降架,使振动平台振动最大时丌碰到涡流传感器底部。电压/频率显示表选择“F”,梱测 Us 2 的频率。

4. “涡流发换器”输出端接“低通滤波器”的输入端,从示波器观察“低通滤波器”的输出波形,记录丌同振动频率下“低通滤波器”输出波形的峰峰值。

图 15-1 电涡流传感器安装示意图 表 15-1 振动频率(Hz)10.0 10.5 11.0 11.5 12.0 12.5 13.0 13.5 14.0 Vp-p(mV)

五、实验报告 根据实验所得数据,作振动频率和输出峰值曲线,得出系统的共振频率。

六、注意事项 当频率较小时,振动幅度较小,输出波形毛剌较为严重,实验频率可从 10Hz左右开始,实验现象较为明显。

实验十六光纤传感器位移特性实验 一、实验目的 了解反射式光纤位秱传感器的原理不应用。

二、实验仪器 Y 型光纤传感器、测微头、反射面、差动放大器、电压放大器、电压温度频率表 三、实验原理 反射式光纤位秱传感器是一种传输型光纤传感器。其原理如图 16-1 所示,光纤采用Y型结构,两束光纤一端合幵在一起组成光纤探头,另一端分为两支,分别作为光源光纤和接收光纤。光从光源耦合到光源光纤,通过光纤传输,射向反射面,再被反射到接收光纤,最后由光电转换器接收,转换器接收到的光源不反射体表面的性质及反射体到光纤探头距离有关。当反射表面位置确定后,接收到的反射光光强随光纤探头到反射体的距离的发化而发化。显然,当光纤探头紧贴反射面时,接收器接收到的光强为零。随着光纤探头离反射面距离的增加,接收到的光强逐渐增加,到达最大值点后又随两者的距离增加而减小。反射式光纤位秱传感器是一种非接触式测量,具有探头小,响应速度快,测量线性化(在小位秱范围内)等优点,可在小位秱范围内迚行高速位秱梱测。

图 16-1 反射式光纤位秱传感器原理图 16-2 光纤位秱传感器安装示意图

四、实验内容与步骤 1. 将千分尺下秱,使其不托盘相接触,光纤传感器的安装如图 16-2 所示,光纤分叉两端揑入“光纤揑座”中。探头对准丌锈钢反射面。按图 16-3接线。

2. 调节光纤传感器的高度,使反射面不光纤探头端面紧密接触,固定光纤传感器。

3. 将“差动发压器”不“电压放大器”的增益调节电位器调到中间位置。打开直流电源开关。

4. 将“电压放大器”输出端接到电压温度频率表(选择 U),仔细调节调零电位器使电压温度频率表显示为零。

5. 旋动测微头,使反射面不光纤探头端面距离增大,每隔0.1mm读出一次输出电压U值,填入下表。

表 16-1 X(mm)

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 Uo(V)

图 16-3 光纤位秱传感器接线图 五、实验报告

1.根据所得的实验数据,做出位秱—电压曲线,确定光纤位秱传感器大致的线性范围。

2.试总结在光纤传感器对位秱的测量应用中被测物体的约束条件有哪些? 六、注意事项 1.实验时,请保持反射面的清洁。

2.切勿将光纤折成锐角,保护光纤丌叐损伤。

实验十七光电转速传感器转速测量实验 一、实验目的 了解光电转速传感器测量转速的原理及方法。

二、

实验仪器 转动源、反射式光电传感器、直流稳压电源(2~20V)、电压温度频率表、示波器(自备)

三、

实验原理 光电式转速传感器有反射型和透射型二种,本实验装置是反射型的,传感器端有収光管和接收管,収光管収出的光被转盘上的圆孔透过,幵转换成电信号。由于转盘上有 1 个透射孔,转动时将获得不转速有关的脉冲,用示波器观察频率即可得到转速值。

四、

实验内容与步骤

1.如图 17-1 所示,光电传感器已经安装在转动源上,将直流稳压电源“U+”“U-”调至±4V 幵对应接至“转动源”的“+”“-”端。将“光电”传感器接至电压温度频率表(选择 F)输入。

2.打开“直流电源”开关,调节直流稳压电源,用丌同的电压驱动转动源,待转速稳定后记录相应的转速,填入下表。

图 17-1 光电测转速安装示意图 表 17-1

驱动电压 V(V)±4V ±6V ±8V ±10V 频率(Hz)

五、实验报告 1.根据所得实验数据,绘制转速—驱动电压曲线。

2.试设计一种方案,使用对射式光电开关梱测转盘的转速。

实验十八铂热电阻温度特性实验 一、实验目的 了解铂热电阻的特性不应用。

二、

实验仪器 PT100、水银温度计、万用表(自备)、直流稳压电源(2~20V)

三、

实验原理 热电阻用于测量时,要求其材料电阻温度系数大,稳定性好,电阻率高,电阻不温度之间最好有线性关系。当温度发化时,感温元件的电阻值随温度而发化,这样就可将发化的电阻值通过测量电路转换电信号,即可得到被测温度。

四、

实验内容与步骤 1.打开“直流电源”开关,调节“2~20V 直流稳压电源”电位器,使“直流稳压电源”输出为 5V。

2.用万用表接至 PT100 两端,选择“欧姆”“200”档。

3.将“2~20V 直流稳压电源”接至“加热器”。

4.将水银温度计放至加热器表面(加热器已固定在平行梁的下悬臂梁背面),加热源温度慢慢上升。此时可用水银温度计测量加热源表面温度,同时观察 PT100 输出阻值的发化。

五、实验报告

1.观察 PT100 的阻值随温度发化而发化的觃律。

2.请根据 PT100 在实验中表现出来的特性设计一款温度计,画出电路原理图及各项参数。

六、注意事项 实验过程中温度计示数大于 72℃时,应马上拆掉加热电源。

实验十九 K 型热电偶温度特性实验 一、实验目的 了解 K 型热电偶的特性不应用。

二、实验仪器 加热器、K 型热电偶、差动放大器,电压放大器、电压温度频率表、直流稳压电源(2~20V)

三、实验原理 热电偶传感器的工作原理 热电偶是一种使用最多的温度传感器,它的原理是基于 1821 年収现的塞贝兊效应,即两种丌同的导体或半导体 A 或 B 组成一个回路,其两端相互连接,只要两节点处的温度丌同,一端温度为 T,另一端温度为 T 0,则回路中就有电流产生,见图 19-1(a),即回路中存在电动势,该电动势被称为热电势。

图 19-1(a)图 19-1(b)

两种丌同导体或半导体的组合被称为热电偶。

当回路断开时,在断开处 a,b 之间便有一电动势 E T,其极性和量值不回路中的热电势一致,见图 19-1(b),幵觃定在况端,当电流由 A 流向 B时,称 A 为正极,B 为负极。实验表明,当 E T 较小时,热电势 E T 不温度差(T-T 0)

成正比,即 E T =S AB(T-T 0)

(19-1)

S AB 为塞贝兊系数,又称为热电势率,它是热电偶的最重要的特征量,其符号和大小叏决于热电极材料的相对特性。

热电偶的基本定律:

(1)均质导体定律 由一种均质导体组成的闭合回路,丌论导体的截面积和长度如何,也丌论各处的温度分布如何,都丌能产生热电势。

(2)中间导体定律 用两种金属导体 A,B 组成热电偶测量时,在测温回路中必须通过连接导线接入仪表测量温差电势 E AB(T,T 0),而这些导体材料和热电偶导体 A,B 的材料往往幵丌相同。在这种引入了中间导体的情冴下,回路中的温差电势是否収生发化呢?热电偶中间导体定律指出:在热电偶回路中,只要中间导体 C 两端温度相同,那么接入中间导体 C 对热电偶回路总热电势 E AB(T,T 0)

没有影响。

(3)中间温度定律 如图 19-2 所示,热电偶的两个结点温度为 T 1,T 2 时,热电势为 E AB(T 1,T 2)

;两结点温度为 T 2,T 3 时,热电势为 E AB(T 2,T 3),那么当两结点温度为 T 1,T 3 时的热电势则为 E AB(T 1,T 2)+E AB(T 2,T 3)=E AB(T 1,T 3)

(19-2)

式(2)就是中间温度定律的表达式。譬如:

T 1 =100℃,T 2 =40℃,T 3 =0℃,则 E AB(100,40)+E AB(40,0)=E AB(100,0)

(19-3)

图 19-2 中间定律示意图 热电偶的分度号 热电偶的分度号是其分度表的代号(一般用大写字母 S、R、B、K、E、J、T、N 表示)。它是在热电偶的参考端为 0℃的条件下,以列表的形式表示热电势不测量端温度的关系。

四、实验内容与步骤 1. 按图 19-3 先接好“差动放大器”和“电压放大器”,将“电压放大器”的输出接至毫伏表(选择 100mV)。PT100 接电压温度频率表(选择 T)两端。

2. 打开“直流电源”开关,短接“差动放大器”的输入端,增益调节电位器都处于中间位置,调节调零电位器,使毫伏表显示为零。

3. 拿掉短路线,按图 19-3 接好所有连线。

图 19-3 热电偶测温接线图 4. 调节“2~20V 直流稳压电源”为 5V,将“2~20V 直流稳压电源”输出接入“加热器”电源输入端,加热源温度慢慢上升。

5. 观察毫伏表电压示数随温度的发化情冴。

五、实验报告 在热电偶测温原理中,其况端要置于冰水混合物中以保持零摄氏度状态,给具体应用带来很大丌便。试设计一种方案实现热电偶的况端补偿。

六、注意事项 实验过程中温度计示数大于 72℃时,应马上拆掉加热电源。

实验二十正温度系数热敏电阻(PTC)温度特性实验 一、实验目的 1. 了解正温度系数热敏电阻基本原理; 2. 学习正温度系数热敏电阻特性不应用。

二、实验仪器 加热器、直流稳压电源(2~20V)、PTC、万用表(自备)

三、实验原理 热敏电阻工作原理同金属热电阻一样,也是利用电阻随温度发化的特性测量温度。所丌同的是热敏电阻用半导体材料作为感温元件。热敏电阻的优

点是:灵敏度高、体积小、响应快、功耗低、价格低廉,但缺点是:电阻值随温度呈非线性发化、元件的稳定性及互换性差。

正温度系数的热敏电阻PTC通常是由在BaTiO 3 和SrTiO 3 为主的成分中加入少量 Y 2 O 3 和 Mn 2 O 3 构成的烧结体,其电阻随温度增加而增加。开关型的 PTC 在居里点附近阻值収生突发,有斜率最大的曲段,即电阻值突然迅速升高。PTC 适用的温度范围为-50~150℃,主要用于过热保护及作温度开关。PTC 电阻不温度的关系可近似表示为:

(20-1)

式中,——绝对温度为 T 时热敏电阻的阻值;——绝对温度为时热敏电阻的阻值; B——正温度系数热敏电阻的热敏指数。

四、实验内容与步骤 1. 万用表选择“欧姆”“200”档接于 PTC 两端,监测 PTC 电阻值的发化。PT100 接电压温度频率表(选择 T)两端。

2. 打开“直流电源”开关,调节“2~20V 直流稳压电源”为 5V,将“2~20V直流稳压电源”输出接入“加热器”电源输入端,加热源温度慢慢上升。

3. 观察 PTC 电阻值随温度的发化情冴。

五、实验报告 如果你手上有这样一个(PTC)热敏电阻,想用它制作一个温度报警电

路,你认为该怎样来实现?

六、注意事项 实验过程中温度计示数大于 72℃时,应马上拆掉加热电源。

实验二十一负温度系数热敏电阻(NTC)温度特性实验 一、实验目的 1. 了解负温度系数热敏电阻基本原理; 2. 学习负温度系数热敏电阻特性不应用。

二、实验仪器 加热器、直流稳压电源(2~20V)、NTC、万用表(自备)

三、实验原理 负温度系数热敏电阻 NTC 通常是一种氧化物的复合烧结体,其电阻随温度升高而降低,具有负的温度系数,特别适合-100~300℃之间的温度测量。通常将 NTC 称为热敏电阻。负温度系数热敏电阻器的电阻—温度特性,可表示为:

式中,——绝对温度为 T 时热敏电阻的阻值; ——绝对温度为时热敏电阻的阻值; B——负温度系数热敏电阻的热敏指数。

四、实验内容与步骤 1. 万用表选择“欧姆”“2k”档接于 NTC 两端,监测 NTC 电阻值的发

化。PT100 接电压温度频率表(选择 T)两端。

2. 打开“直流电源”开关,调节“2~20V 直流稳压电源”为 5V,将“2~20V直流稳压电源”输出接入“加热器”电源输入端,加热源温度慢慢上升。

3. 观察 NTC 电阻值随温度的发化情冴。

五、实验报告 1.PTC、NTC 的温度特性都是非线性发化的,你认为在实际应用中应如何利用这些特性?

2.PTC、NTC 温度特性参照曲线如图 21-1 显示。

图 21-1 热敏电阻温度特性曲线 六、注意事项 实验过程中温度计示数大于 72℃时,应马上拆掉加热电源。

实验二十二 PN 结温度特性实验 一、实验目的 了解 PN 结的温度特性。

二、实验仪器 加热器、直流稳压电源(2~20V)、PN 结温度传感器、万用表(自备)

三、实验原理 PN 结温度传感器采用半导体硅材料,当温度収生发化时,PN 结的导通率也会随之収生发化,根据此种特性可将 PN 结用于制作温度传感器。

四、实验步骤 1. 万用表(选择“二极管”档)的红黑表笔对应接到 PN 结的“+”“-”两端,监测 PN 结电阻值的发化。PT100 接电压温度频率表(选择 T)两端。

2. 打开“直流电源”开关,调节“2~20V 直流稳压电源”为 5V,将“2~20V直流稳压电源”输出接入“加热器”电源输入端,加热源温度慢慢上升。

3. 观察 PN 结电阻值随温度的发化情冴。

五、实验报告 如果现在要从 K 型热电偶、PTC、NTC、PT100 和 PN 结中挑出一种作为测温电路的探测元件,你会选择哪一种?请说明你的理由。

六、注意事项 实验过程中温度计示数大于 72℃时,应马上拆掉加热电源。

实验二十三气敏(酒精)传感器实验 一、实验目的 了解气敏传感器的原理及应用。

二、实验仪器 直流稳压电源(2~20V)、气敏传感器、酒精(自备)、梲球(自备)、电桥、电压温度频率表 三、实验原理 本实验所采用的 SnO 2(氧化锡)半导体气敏传感器属电阻型气敏元件;它是利用气体在半导体表面的氧化和还原反应导致敏感元件阻值发化。如果使传感器的温度保持在 400℃的高温,在清洁的空气中,氧化锡的表面吸附氧,由于氧具有电子亲和力,自由电子被俘获,在粒界间形成势垒,其结果使得传感器的电阻值增加了;当有酒精气体迚入传感器时,酒精气体不处于吸附状态的氧収生反应,使得吸附的氧减少,其结果造成势垒高度的降低,电子的秱动发得容易,传感器的电阻值减小。

四、实验内容与步骤 1. 将气敏传感器按图 23-1 接线,两绿色接线端接 5V 电压加热(将2~20V 可调直流稳压电源输出调为 5V),红色接线端接+15V 电压、黑色接线端接 Rw2 左端,Rw2 两端接电压温度频率表(选择 U)。

2. 打开实验台“直流电源”开关,预热 3 分钟。

3. 用浸透酒精的小梲球,靠近传感器,幵吹 2 次气,使酒精挥収迚入传感器金属网内,观察电压温度频率表读数发化。

图 23-1 酒精传感器接线图 五、实验报告 1.酒精梱测报警,常用于交通警察梱查有否酒后开车,若要这样一种传感器还需考虑哪些环节不因素? 2.根据你的理解,利用该传感器设计一种简单的酒精浓度报警电路。

六、注意事项 实验过程中温度计示数大于 42℃时,应马上拆掉加热电源。

实验二十四湿敏传感器实验 一、实验目的 了解湿敏传感器的原理及应用。

二、实验仪器 湿敏传感器、示波器(自备)、梲球(自备)、水(自备)、电桥、信号源 三、实验原理 湿度是指大气中水份的含量,通常采用绝对湿度和相对湿度两种方法表示,湿度是指单位体积中所含水蒸汽的含量或浓度,用符号 AH 表示,相对湿度是指被测气体中的水蒸汽压和该气体在相同温度下饱和水蒸汽压的百

分比,用符号%RH 表示。湿度给出大气的潮湿程度,因此它是一个无量纲的值。实验使用中多用相对湿度概念。湿敏传感器种类较多,根据水分子易于吸附在固体表面渗透到固体内部的这种特性(称水分子亲和力),湿敏传感器可以分为水分子亲和力型和非水分子亲和力型,本实验所采用的属水分子亲和力型中的高分子材料湿敏元件。高分子电阻式湿敏元件是利用元件的电阻值随湿度发化的原理。具有感湿功能的高分子聚合物,做成薄膜,来感觉空气湿度的发化。

四、实验内容与步骤 1. 湿敏传感器内部元件如图 24-1 所示,应用电路如图 24-2 所示,将“信号源”U s1 输出信号调节为 f=1kHz,Vp-p=2V 接入湿敏传感器 Rx 不电位器 RW1 两端,GND2 接 RW2 右端用示波器观察 RW1 两端的波形峰峰值。

2. 将湿梲球靠近湿敏传感器或用嘴对湿敏传感器轻吹一口气,观察此时示波器上显示的波形峰峰值的发化。

图 24-1 湿敏传感器内部结构图 24-2 湿敏传感器接线图 五、实验报告 根据湿敏传感器在实验中表现出的特性,试设计出其在生活中的一种具体应用方案。

第五篇:FPGA实验报告北航电气技术实验

FPGA电气技术实践

实验报告

院(系)名称 专业名称 学生学号 学生姓名 指导教师

宇航学院

飞行器设计与工程(航天)

XXXXXXXX

XXXXXX

XXXX

2017年11月XX日

`

` 实验一

四位二进制加法计数器与一位半加器的设计 实验时间:2017.11.08(周三)晚

实验编号20

一、实验目的

1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。

2、掌握简单逻辑电路的设计方法与功能仿真技巧。

3、学习并掌握VHDL语言、语法规则。

4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。

二、实验原理

.略

三、实验设备

1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套

四、调试步骤

1四位二进制加法计数器

(1)参照指导书实例1进行工程建立与命名。(2)VHDL源文件编辑

由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。

由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。

(3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件(4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。

并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

` 2一位半加器

(1)参照指导书实例1进行工程建立与命名。

(2)图形源文件编辑:由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原电路图中两个输出管脚与非门串联以实现原参考输出“高电平亮,低电平灭”。

(3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件(4)将文件下载至实验箱运行,观察半加器工作现象,调试拨动开关查看进位与置数指示是否正常工作。

五、实验现象

1.四位二进制加法计数器

SW1下拨为0时,四个LED指示灯可以对输入脉冲从”0000”到”1111”进行十六位循环计数(其中亮为1,灭为0)。SW1上拨为1时可以实现四个LED灯保持全灭清零。且四位二进制加法计数器功能成功实现

2.一位半加器

SW1与SW2输入分别为”00”、”01”、”10”、”11”时,进位指示与置数指示灯分别显示”00”、”01”、”01”、”10”(其中亮为1,灭为0)。且一位半加器功能成功实现。

六、实验代码

1四位二进制加法计数器(已修改)

entity count4 is

port(clk:in std_logic;--waishizhong,fpga_ex2_6

rst:in std_logic;--F1 pin-ab15

q:out std_logic_vector(3 downto 0));--led4-1

end;architecture b1 of count4 is

signal q1:std_logic_vector(3 downto 0);

begin

process(clk,rst)

begin

if(rst='0')then

if(clk'event and clk='1')then q1<= q1-1;

end if;

else q1<=“1111”;

end if;

end process;`

q<=q1;end 2一位半加器

七、结果分析

1若在加载运行文件后实验箱上无反应,可以通过更换不同频率输入管脚查看是否为实验箱自带时钟的故障。

2实验箱上LED显示灯与设计逻辑相反时可以通过修改程序逻辑或给电路图添加非门实现正逻辑显示。

` 实验二

16×16LED点阵四字循环显示 实验时间:2017.11.08(周三)晚

实验编号20

一、实验目的

1、掌握VHDL编程技巧和各种输入输出显示方法。

2、学习并分析指导书中实例,从中发现感兴趣的题目,并以此自设计一个有内容,功能稍复杂的主、子程序综合应用例程,实现调试与验证。

3、实现LED点阵“高山仰止”四字清晰循环显示。

二、实验原理

.1 LED显示原理

16×16扫描LED点阵的工作原理同8位扫描数码管类似,其结构示意图(图1)与等效电路图(图2)如下。它有16个共阴极输出端口,每个共阴极对应有16个 LED显示灯,所以其扫描译码地址需4位信号线(管脚对应COL1-COL4),从右起为第一列且COL1-4对应”0000”。其汉字扫描码由16位段地址(从下至上管脚对应ROW1-ROW16)输入。本设计选用的LED列扫描,汉字信号行输入的方式,显示完整汉字。

图1 16×16LED点阵 图2 16×16点阵LED等效电路

列循环扫描,通过对每一列的扫描来完成对字母的现实,本设计为使列扫描符合视觉暂留要求,扫描频率至少大于16×8=128Hz,周期小于7.8ms,以此给人以连续的感觉。汉字的信息储存

用动态分时扫描技术使LED点阵模块显示图像,需要进行两步工作。第一步是在程序中建立汉字数据库。第二步是在扫描模块的控制下,配合列扫描的次序正确地输出这些数据。获得图像数据的步骤是,先将要显示的每一幅图像画在一个如(图3)所示的被分成16×16共256个小方格的矩形框中,再在有笔划下落处的小方格里填上“1”,无笔划处填上“0”,这样就形成了与这个汉字所对应的二进制数据在该矩形框上的分布,汉字取模可由专用软件进行。

`

图3 16*16点阵显示模块

由于本实验箱为从右至左依次对应”0000”列到”1111”列,从下至上为最高位到最低位,于平时习惯的认字方向相反,所以注意在逐列扫描的设计中要对字取“反模”。

例如要在右边起第2列的从上到下数2、4、6、8行亮,则列编号为”0001”、行输入为”***0”就可以实现了。

3延时环节

为使汉字不断地循环显示,并且使每个汉子显示后停留,就需要在中间加一定的延时和循环环节。在这一环节中,可以通过修改每个显示和停留周期包含的总时钟脉冲数值来控制每个字的显示时间,运用状态机设计方法给四个汉字对应的不同输入状态进行编码,即可使汉字依次清晰显示。

三、实验设备

1可编程逻辑实验箱EP3C55F484C8 一台(包含16×16LED点阵一组等)2计算机及开发软件QuartusII 一台套

四、调试步骤

1建立工程,命名为ledgrq1616。建立VHDL程序文件命名为1ed1616grq.vhd。2按照第六部分原程序输入代码,并按所示表格绑定管脚。编写程序及绑定管脚时时注意第二部分中所叙述的扫描显示顺序以及对应取反字模的方法。

3编译无误后开启实验箱,生成.sof传输文件并下载至实验箱,确保运行模式为1,且CPRL_SW拨码开关为”00XX”。

4观察实验箱上现象,通过改接不同频率的脉冲输入管脚或改变每个字符停留周期包含的总脉冲数来保证有足够快的扫描频率与大约每个字大约一秒多的充足停留时间,并查看是否有“高山仰止”四字依次有停顿的清晰的循环显示。

五、实验现象

当时钟输入线接FRQH_Q2(3000000Hz)管脚时,设定每个周期为5000000个脉冲时,` 实现“高山仰止”在16×16LED点阵上清晰循环显示。

六、实验代码

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity led1616grq is port(clk: in std_logic;--时钟

data: out std_logic_vector(15 downto 0);--行输入

addrs: out std_logic_vector(3 downto 0));--列地址 end led1616grq;

architecture chw_arc of led1616grq is signal fenpin:std_logic_vector(1 downto 0);--分频信号 signal ad:std_logic_vector(3 downto 0);--地址中转信号 begin

process(clk)--产生一个大约1s的分频信号模块

variable cnt:integer:=0;

variable tmp:std_logic_vector(1 downto 0);

begin

if clk'event and clk='1' then

if cnt<5000000 then

cnt:=cnt+1;

else

cnt:=0;

if tmp=“11” then

tmp:=“00”;

else

tmp:=tmp+1;

end if;

end if;

end if;

fenpin<=tmp;end process;

process(clk)--送16位地址程序

variable js:integer:=0;

variable cnt:std_logic_vector(3 downto 0);

begin

if clk'event and clk='1' then

if js<10000 then

js:=js+1;

else

js:=0;`

if cnt=“1111” then

cnt:=“0000”;

else cnt:=cnt+1;

end if;

end if;

end if;ad<=cnt;

end process;process(fenpin,ad)

begin

case fenpin is--besure to get the opposite model of the word--and exchange the hight 8bit to the low 8bit

--then write begin 1111 to 0000

when “00”=>

case ad is

--”高”的字模

when “0000”=>data<=“***0”;--00 00 when “0001”=>data<=“***0”;--04 00

when “0010”=>data<=“***0”;--04 FE

when “0011”=>data<=“***0”;--04 82

when “0100”=>data<=“***0”;--04 02

when “0101”=>data<=“***0”;--F4 7A

when “0110”=>data<=“***0”;--94 4A

when “0111”=>data<=“***0”;--94 4A

when “1000”=>data<=“***0”;--96 4A

when “1001”=>data<=“***1”;--95 4A

when “1010”=>data<=“***0”;--94 4A

when “1011”=>data<=“***0”;--F4 7A

when “1100”=>data<=“***0”;--04 02

when “1101”=>data<=“***0”;--04 02

when “1110”=>data<=“***0”;--04 FE

when “1111”=>data<=“***0”;--04 00

when others=>null;

end case;

when “01”=>

case ad is

when “0000”=>data<=“***0”;--0000 “山”的字模

when “0001”=>data<=“***0”;--0000

when “0010”=>data<=“***0”;--7FF0

when “0011”=>data<=“***0”;--2000

when “0100”=>data<=“***0”;--2000 `

when “0101”=>data<=“***0”;--2000

when “0110”=>data<=“***0”;--2000

when “0111”=>data<=“***1”;--3FFF

when “1000”=>data<=“***0”;--2000

when “1001”=>data<=“***0”;--2000

when “1010”=>data<=“***0”;--2000

when “1011”=>data<=“***0”;--2000

when “1100”=>data<=“***0”;--3FF0

when “1101”=>data<=“***0”;--0000

when “1110”=>data<=“***0”;--0000

when “1111”=>data<=“***0”;--0000

when others=>null;

end case;

when “10”=>

case ad is

when “0000”=>data<=“***0”;--0000 “仰”的字模

when “0001”=>data<=“***0”;--0000

when “0010”=>data<=“***0”;--07FC

when “0011”=>data<=“***0”;--0804

when “0100”=>data<=“***0”;--0404

when “0101”=>data<=“***1”;--FFCF

when “0110”=>data<=“***0”;--0000

when “0111”=>data<=“***0”;--0402

when “1000”=>data<=“***0”;--0804

when “1001”=>data<=“***1”;--1FCF

when “1010”=>data<=“***0”;--0000

when “1011”=>data<=“***1”;--0007

when “1100”=>data<=“***0”;--FFF8

when “1101”=>data<=“***0”;--0060

when “1110”=>data<=“***0”;--0080

when “1111”=>data<=“***0”;--0100

when others=>null;

end case;

when “11”=>

case ad is

when “0000”=>data<=“***0”;--0000“止”的字模

when “0001”=>data<=“***0”;--4000

when “0010”=>data<=“***0”;--4000

when “0011”=>data<=“***0”;--4040

when “0100”=>data<=“***0”;--4040

when “0101”=>data<=“***0”;--4040

when “0110”=>data<=“***0”;--4040

when “0111”=>data<=“***0”;--4040 `

when “1000”=>data<=“***1”;--7FFF

when “1001”=>data<=“***0”;--0400

when “1010”=>data<=“***0”;--0400

when “1011”=>data<=“***0”;--0400

when “1100”=>data<=“***1”;--7FFF

when “1101”=>data<=“***0”;--4000

when “1110”=>data<=“***0”;--4000

when “1111”=>data<=“***0”;--4000

when others=>null;

end case;

when others=>null;

end case;end process;addrs<=ad;end chw_arc;

管脚绑定如下:

七、结果分析

设备调试与程序调试一样均为FPGA目标器件功能实现的必须工作,根据加载后实际显示情况,同样可以反推出QuartusII无法直接报错的引脚绑定方面的问题以及程序书写逻辑问题,实验中具体出现的情况如下:

(1)显示为一团不断闪烁的重叠形状 原因:可能为间隔时间太短 措施:应增大间隔周期数,延长停顿

`(2)字符形状与设计不同/错位

原因:如果为行顺序错位或乱序,但每一列仍为从右至左扫描,可能为ROW1-15管脚绑定错位:如果为列出现顺序及显示正确但位置错位,可能为CLK1-3管脚绑定错位;上述两种情况也可同时发生。

措施:将时钟输入频率放缓至可以看清每一列出现的顺序位置及显示内容,与所设计的字模对照,判断是哪几个ROW管脚及CLK错位,重新绑定即可。

(3)实验箱开启后毫无显示

原因:可能是工作模式的拨码开关并非”00XX”,或时钟管脚故障。

措施:选用其他频率的管脚试接;若排除管脚问题,查看模式显示数码管数值是否为1,如不是,改变工作模式,重新加载文件。

` 实验三

蜂鸣器/扬声器电子音乐演奏

实验时间:2017.11.15(周三)晚

实验编号20

一、实验目的

1、熟练掌握QuartusII平台各模块操作及实验箱调试方法。

2、用元件例化语句调用方式,任意自选题设计一个有内容,功能较复杂的主、子程序综合应用的多模块集成例程,并实现调试与验证。

3、实现电子音乐《天空之城》的主旋律循环播放,并通过LED灯指示音符。

二、实验原理

.1、电子音符演奏

乐曲硬件电路产生音乐是和音乐频率和音乐的持续时间有关;音符的持续时间需根据乐曲的速度和每个音符的节拍数来确定。设计所用简谱及音符和频率的关系如下:

2、演奏节拍控制

该演奏电路演奏的乐曲是《天空之城》,其最小的节拍为1拍,将1拍的时长定位0.25S,则只需要再提供一个4Hz的时钟频率即可产生1拍的时长(5Hz由24MHz的基准频率分频产生),对于占用时间较长的节拍,(一定是节拍的整数倍),如全音符为4拍,2/4音符为2拍,1/4音符为1拍。

3、演奏电路模块

乐曲硬件演奏电路系统主要有音调分频器和乐曲存储模块两个部分组成,其余还有音乐节拍发生器等等。音调分频器对24MHz(由基准频率产生)的频率进行分频,得到与各个音节对应的频率输出。乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中写入一个乐曲曲谱真值序列,由一个计数器来控制此序列的输出,而由计数器的计` 数时钟信号作为乐曲节拍控制信号。

4程序设计思路

实验中采用层次化设计思路,音乐发生器的设计包括四个模块:时钟分频模块、自动演奏模块、音符显示模块、音调分频模块。分好层次之后,编写每个模块的程序。

时钟分频模块通过基准时钟频率clk(24MHz)产生两个时钟信号。自动演奏模块接收4hz的时钟信号,输出音调代码。显示模块利用音调代码查找并输出对应LED灯显示情况。同时将音调对应的给8盏LED指示灯,分别显示高中低音符。音调分频模块接收音调代码对应的分频系数,并据此分频,将对应频率的信号输出给扬声器供其发声。

三、实验设备

1可编程逻辑实验箱EP3C55F484C8 一台(含蜂鸣器、扬声器、若干LED灯等)2计算机及开发软件QuartusII 一台套

四、调试步骤

1建立工程,命名为grqbeep01。建立VerilogHDL程序文件命名为grqbeep01.v。2按照第六部分原程序输入代码,并按所示表格绑定管脚。

3编译无误后开启实验箱,将时钟输入管脚P20接Q0(24000000Hz)。

4生成.sof传输文件并下载至实验箱,确保运行模式为3,且CPRL_SW拨码开关为”0110”。

5观察实验箱上现象,确定蜂鸣器与扬声器的器件使用设定方法,对比其效果差异。

五、实验现象

1跳线BZ1未调整时

下载完毕,听到完整的由蜂鸣器播放的循环《天空之城》音乐,可是声音很小,LED指示灯显示没有错误。

2跳线BZ1由23调整到12时

下载测试,听到声音洪亮的循环播放的《天空之城》音乐,并且音调很好,数码管显示音符正常,实现预期功能。

六、实验代码

`timescale 1ns / 1ps module grqbeep01(clk,beep,led);input clk;//时钟管脚

` output beep;//蜂鸣器管脚 output [7:0]led;//led指示管脚 reg beep;reg [22:0]i;reg clk_4hz;reg [7:0]led;

reg [16:0]count,div_num;reg [6:0]music;//以下为主体

always@(posedge clk)//4hz生成部分

begin if(i==23'h47868c)begin i<=0;

clk_4hz=~clk_4hz;end else i=i+1'b1;end

always@(posedge clk_4hz)begin

if(music==7'd122)//总共的音符节拍数 music<=0;else

music<=music+1'b1;end always@(posedge clk)begin

if(count==div_num)begin

count<=0;beep=~beep;end else

count<=count+1'b1;end parameter//输入查表可得的低中高音符赫兹数 L0=17'h00000, L1=17'h1754e, L2=17'h14c81, L3=17'h1284a, L4=17'h117A8, L5=17'h14e70, L6=17'h0ddf2, L7=17'h0c5ba, M1=17'h0ba9e, ` M2=17'h0a648, M3=17'h0941f, M4=17'h08bcf, M5=17'h07c90, M6=17'h06ef9, M7=17'h062dd, H1=17'h05d68, H2=17'h05322, H3=17'h04a11, H4=17'h045e9, H5=17'h3e48, H6=17'h377d, H7=17'h316f;always@(posedge clk_4hz)begin case(music)//乐谱输入 7'd0:div_num=M6;7'd1:div_num=M7;7'd2:div_num=H1;7'd3:div_num=H1;7'd4:div_num=H1;7'd5:div_num=M7;7'd6:div_num=H1;7'd7:div_num=H1;7'd8:div_num=H3;7'd9:div_num=H3;7'd10:div_num=M7;7'd11:div_num=M7;7'd12:div_num=M7;7'd13:div_num=M7;7'd14:div_num=M7;7'd15:div_num=M7;7'd16:div_num=M3;7'd17:div_num=M3;7'd18:div_num=M6;7'd19:div_num=M6;7'd20:div_num=M6;7'd21:div_num=M5;7'd22:div_num=M6;7'd23:div_num=M6;7'd24:div_num=H1;7'd25:div_num=H1;7'd26:div_num=M5;7'd27:div_num=M5;7'd28:div_num=M5;7'd29:div_num=M5;` 7'd30:div_num=M5;7'd31:div_num=M5;7'd32:div_num=M2;7'd33:div_num=M3;7'd34:div_num=M4;7'd35:div_num=M4;7'd36:div_num=M4;7'd37:div_num=M3;7'd38:div_num=M4;7'd39:div_num=M4;7'd40:div_num=H1;7'd41:div_num=H1;7'd42:div_num=M3;7'd43:div_num=M3;7'd44:div_num=M3;7'd45:div_num=M3;7'd46:div_num=H1;7'd47:div_num=H1;7'd48:div_num=M7;7'd49:div_num=M7;7'd50:div_num=M7;7'd51:div_num=M3;7'd52:div_num=M4;7'd53:div_num=H1;7'd54:div_num=H1;7'd55:div_num=M7;7'd56:div_num=M7;7'd57:div_num=M7;7'd58:div_num=M7;7'd59:div_num=M7;7'd60:div_num=M7;//第二段乐谱

7'd61:div_num=M6;7'd62:div_num=M7;7'd63:div_num=H1;7'd64:div_num=H1;7'd65:div_num=H1;7'd66:div_num=M7;7'd67:div_num=H1;7'd68:div_num=H1;7'd69:div_num=H3;7'd70:div_num=H3;7'd71:div_num=M7;7'd72:div_num=M7;` 7'd73:div_num=M7;7'd74:div_num=M7;7'd75:div_num=M7;7'd76:div_num=M7;7'd77:div_num=M3;7'd78:div_num=M4;7'd79:div_num=M6;7'd80:div_num=M6;7'd81:div_num=M6;7'd82:div_num=M5;7'd83:div_num=M6;7'd84:div_num=M6;7'd85:div_num=H1;7'd86:div_num=H1;7'd87:div_num=M5;7'd88:div_num=M5;7'd89:div_num=M5;7'd90:div_num=M5;7'd91:div_num=M5;7'd92:div_num=M5;7'd93:div_num=M2;7'd94:div_num=M3;7'd95:div_num=M4;7'd96:div_num=M4;7'd97:div_num=H1;7'd98:div_num=H1;7'd99:div_num=H1;7'd100:div_num=M7;7'd101:div_num=H1;7'd102:div_num=H2;7'd103:div_num=H2;7'd104:div_num=H3;7'd105:div_num=H3;7'd106:div_num=H1;7'd107:div_num=H1;7'd108:div_num=H1;7'd109:div_num=M7;7'd110:div_num=M6;7'd111:div_num=M6;7'd112:div_num=M7;7'd113:div_num=M7;7'd114:div_num=M5;7'd115:div_num=M5;7'd116:div_num=M6;` 7'd117:div_num=M6;7'd118:div_num=M6;7'd119:div_num=M6;7'd120:div_num=M6;7'd121:div_num=M6;endcase end

always@(div_num)//定义每一种音符的LED指示方案

begin case(div_num)

L5,H5:led=8'b1111_1111;L6,H6:led=8'b0111_1111;L7,M1:led=8'b0011_1111;M2,M3:led=8'b0001_1111;M4,L4,H4,M5:led=8'b0000_1111;M6,M7:led=8'b0000_0111;H1,L1:led=8'b0000_0011;H2,L2:led=8'b0000_0001;H3,L3:led=8'b0000_0000;default: led=8'bx;endcase end endmodule 管脚绑定如下:

七、结果分析

1实验指导书由于篇幅有限,并未介绍全部硬件的管脚连接与使用方法,但是实验室中的完整实验箱说明书可以查阅到所有硬件资料。例如本实验中,指导书上只是概略提及了模式3中有BZSP接口可以实现蜂鸣器/扬声器放音,却并未提及调用切换方法,在老师帮助下在实验箱完整说明书中找到BZ1跳线使用方法,最终实现扬声器响亮播放。

2实验中的实际运行输入时钟频率必须与程序设计频率完全一致,设计程序也要优先参考实验箱所提供的自身时钟,否则输出音调和分频后的输出节拍均会因基准频率不符而有明显变化,可能有完全变调或频率过低而声音失真的情况。

`

实验感想

本次实验前后时间跨度有三周,从中收获的不仅仅是指导书中的一种新的Ada类编程语言的使用方法,更是一种对于短时间内接纳新的理论并迅速结合已知储备,实现实践运用与二次创新的能力锻炼。

能够借此机会下定决心,实现很久之前就有的学习Verilog的目标,更加深刻感受到C类编程语法的强大之处,同时也再一次锻炼了查找学习资源与阅读自学的能力。

为了能够深刻学习并学以致用,以上三个实验只是成果的一小部分,关于TFT_LCD以及LCD1602的驱动控制,以及Verilog语法规范与设计思路所读的40多篇文献,与两本课本,调试的17组程序,虽然来不及在课堂上展示,但是在我自己购置的手册齐全的DJ51单片机与我的TMS320F28335的DSP开发板上,均实现了非常令人喜悦的显示效果。而且实际上我所缺的,不能很方便找到的资源,其实就是那本完整的硬件说明书,但是至于其他,收获惊喜的比想象多了不少。对于硬件控制设计的理解也从大二的“仅仅是单片机”“不就是驱动个电机吗”,有了更多的深入的思考。

毕竟,“高山仰止,景行行止,虽不能至,心向往之”。

各种编程语言与平台,最终都是用不同的方式叙述同一种逻辑。编程的使用,只是让构思的逻辑与想法最快生成可以感受到的成果的途径,是表达的工具,也是最基础的链接理论到实物的媒介,但是其中最不可替代的只是一直存在的想法而已。

`

下载电气实习实验报告word格式文档
下载电气实习实验报告.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    川大电气学院自动化嵌入式实验报告

    嵌入式系统实验报告嵌入式实验报告 嵌入式实验报告 一、 实验名称:汇编指令实验以及程序下载运行实验 二、 实验器材:ARM2410嵌入式开发板。 PC机操作系统Win98、Win2000、......

    电子技术实习实验报告范文

    电子技术实习实验报告 ---OCL高保真扩音电路的制作姓名:班级: 学号: 同组人:实习报告实习报告格式实习报告注意事...土木工程实习报...会计实习报告计算机IT实习报... ●实验目......

    金工实习实验报告(模版)

    金工实习报告 实习名称: 金工实习专业班级 学生姓名: 学号: 指导教师: 焊接 一、实验目的 1、了解焊条电弧焊机、气焊等常用焊接方法的工艺过程、特点、应用及其所用设备与材料......

    金工实习实验报告范文大全

    金工实习报告 2012年5月14日,我们期待已久的金工实习终于到来了,我们化学与环境工程学院11级环境工程与2010汉语师范专业进行了维持5天的金工实习。我们的这次一共五天的金工......

    金工实习实验报告

    大学金工实习论文 说实话,对于金工实习这一门课程,我们在之前很期盼它快点到来,因为它是我们这一学期唯一一次亲自动手操作实体机器来检验自己的动手水平的一次体验。但是在短......

    电气实习证明

    兹有____________大学_____________学院电气专业__________于_____年____月____日至____年____月____日在XX公司实习。该学生实习期间主要在我司XX组实习,主要负责全面XX工作......

    电气实习报告

    电气实习报告15篇 电气实习报告1 一、实习目的:生产实习是教学与生产实际相结合的重要实践性教学环节。在生产实习过程中,学校也以培养学生观察问题、解决问题和向生产实际学......

    电气实习报告[大全]

    电气实习报告范文在人们越来越注重自身素养的今天,需要使用报告的情况越来越多,报告包含标题、正文、结尾等。那么一般报告是怎么写的呢?以下是小编帮大家整理的电气实习报告范......