数字卫星广播 习题

时间:2019-05-13 12:42:15下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《数字卫星广播 习题》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《数字卫星广播 习题》。

第一篇:数字卫星广播 习题

第1章概论

本章思考与练习题

1、数字卫星广播有哪些突出的优点?

2、压缩算化有哪几种关键技术?

3、DVB-S系统发射部分由哪几个部分组成,简述各部分的功能。

第2章DVB-S系统

本章思考与联系题

1、模拟信号抽样,抽样频率为什么要高于被抽样信号最高频率的2倍?

2、什么叫量化?非均匀量化的扩张性原理是什么?

3、电视信号编码有什么特点?

4、MPEG编码有哪些关键技术?

5、DCT余弦变换的目的是什么?

6、运动估算的搜索方法有哪两种?

7、差错控系统有哪几种?卫星广播系统采用的是哪种?DVB-S的系统具体采用哪些纠错方法?

8、QPSK调制的00的取值,输入为101100111010的数据序列,画出QPSK调制的输入、输出波形图。

9、信源编码输出码流的码率为6.11Mb/s,经RS和效率为3/4的卷积纠错编码,再经QPSK调制输出的符号率是多少?

第3章DVB-S系统图像质量的分析

本章思考与练习题

1、DCT余弦变换对图像质量的影响有哪些?

2、基于块的运动估算和运动补偿对图像质量有怎样的影响?

3、不同位置编码数据的误码对图像质量有哪些影响?

4、简述数字图像质量双刺激11级主观评分方法。

5、PQA200图像质量分析系统的基本原理是什么?

第4章卫星天线与高频头

本章思考与练习题

1、抛物面天线工作原理、分析方法是什么?

2、卫星天线的主要技术性能是什么?

3、接收天线馈源的要求是什么?

4、馈源极化的确定方式是什么?

第5章数字卫星接收机

本章思考与练习题

1、卫星数字接收机组成框图、技术指标是什么?

2、什么是同密,什么是多密技术?

3、使用数字卫星接收机应注意什么?

4、卫星数字接收机调试与运行时应注意什么?

第6章卫星通信系统传输参数和链路估算

本章思考与练习题

1、根据本地的经纬度计算亚洲3S或亚太1A卫星在本地天线的方位角与仰角。

2、根据单独接收或集体接收要求估算本地接收天线尺寸。

第7章卫星电视接收系统的选址、设备选择、安装与调试 本章思考与练习题

1、设备选择要点、站址选择要点是哪些?

2、接收机输入电平估算方法。

3、天线与馈源安装调试方法。

4、馈源极化调整方法。

第8章卫星上行站系统

本章思考与练习题

1、上行站系统组成包括哪些部分?

2、卫星通信干扰的类型有多少?

3、非恶意干扰的防范方法是什么?

4、恶意干扰的防范方法是什么?

第9章数字微波通信

本章思考与练习题

1、说说数字微波网络在通信传送中的重要作用。

2、画出数字微波通信的系统框图并说明各部分的作用。

3、SDH和PDH数字微波体系有何优点缺点?

4、衡量数字信道传输质量的重要指标是什么?怎样定义的?

5、微波天线主要采用什么型式的天线?馈源有哪几种?

6、微波天线有哪些主要技术指标?如何定义的?

7、安装椭圆软波波导时要注意哪些问题?

8、画出SDH数字微波收发信机的方框图,并说明各部分的作用。

9、SDH数字微波设备有哪些技术特点?

10、画出QAM调制器、解调器的原理方框图,并说明各部分的作用。

11、什么是无损伤倒换?主备系统有哪些延差?

12、说出SDH数字微波基带电接口的主要性能要求。

13、画出SDH微波网管的结构框图,并说明各部分的作用。

第10章卫星设备指标测量

本章思考与练习题

1、天线馈源极化调整方法。

2、高功率放大器指标测试方法。

3、变换器指标测试方法。

4、QPSK调制器指标测试方法。

第11章地球站系统联测

本章思考与练习题

1、上行站系统联测指标、测试方法。

2、下行系统联测指标、测试方法。

3、上、下行视音频系统指标、测试方法。

4、视频串行数字信号技术指标、测试方法。

第12章数字微波设备的维护和测试

本章思考与练习题

1、数字微波设备的维护要注意哪些事项?

2、结合本职工作,说明如何对数字微波设备进行测试和调整。

第二篇:2015国家公务员考试数字推理习题

给人改变未来的力量

1.6,12,19,27,33,(),48

A.39 B.40 C.41 D.42

2.0,5,8,17,(),37

A.31 B.27 C.24 D.22

3.4,9,6,12,8,15,10,()

A.18 B.13 C.16 D.15

4.8,96,140,162,173,()

A.178.5 B.179.5 C 180.5 D.181.5

5.2,2,3,6,12,22,()

A.35B.36C.37D.38

1.B2.C3.A4.A5.C

第三篇:数字结构与电路逻辑第二章习题[定稿]

3.两输入与非门输出为0时,输入应满足。

A.两个同时为1 B.两个同时为0 C.两个互为相反 D.两个中至少有一个为0 4.某4变量卡诺图中有9个“0”方格7个“1”方格,则相应的标准与或表达式中共有多少个与项?

A. 9B.7 C.16 D.不能确定

5.下列逻辑函数中,与FA相等的是。

(A)F1A1(B)F2A⊙1(C)F3A1(D)F4A0

20.n个变量的最小项是。

A.n个变量的积项,它包含全部n个变量 B.n个变量的和项,它包含全部n个变量

C.每个变量都以原变量或者反变量的形式出现,且仅出现一次。D.n个变量的和项,它不包含全部变量。

23.以下表达式中符合逻辑运算法则的是。

A.C·C=C

2B.1+1=10

C.0<1

D.A+1=1 25.当逻辑函数有n个变量时,共有个变量取值组合? A.n B.2n C.n2 D.2n 26.逻辑函数的表示方法中具有唯一性的是。

A.真值表 B.表达式 C.逻辑图 D.卡诺图 27.F=AB+BD+CDE+AD=。

A.ABD B.(AB)D C.(AD)(BD)D.(AD)(BD)

28.逻辑函数F=A(AB)=。

A.B B.A

C.AB D.AB 29.求一个逻辑函数F的对偶式,可将F中的。

A.·”换成“+”,“+”换成“·”

B.原变量换成反变量,反变量换成原变量 C.变量不变

D.常数中“0”换成“1”,“1”换成“0” E.常数不变 30.A+BC=。

A.A+B B.A+C C.(A+B)(A+C)D.B+C 31.在输入情况下,“与非”运算的结果是逻辑0。

A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 32.在种输入情况下,“或非”运算的结果是逻辑0。

A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 1.要使异或门输出为0,必须令两个输入。2.n个变量的全部最小项相“或”为。

3.逻辑函数F=ABAB的对偶函数F′=___________________。4.一个由n变量构成的最小项有个相邻最小项

函数的反函数=。

1.逻辑代数又称为代数。最基本的逻辑关系有、、三种。常用的几种导出的逻辑运算为、、、、。

2.逻辑函数的常用表示方法有、、。

3.逻辑代数中与普通代数相似的定律有、、。摩根定律又称为。4.逻辑代数的三个重要规则是、、。5.逻辑函数F=A+B+CD的反函数F=。6.逻辑函数F=A(B+C)·1的对偶函数是。7.添加项公式AB+AC+BC=AB+AC的对偶式为。8.逻辑函数F=ABCD+A+B+C+D=。

9.逻辑函数F=ABABABAB=。

10.已知函数的对偶式为AB+CDBC,则它的原函数为。

11.同一逻辑函数的两种逻辑表达式中的最大项 Mi 与最小项 mi 之间的关系有 Mi=___,Mi+mi=___。12.多变量异或运算时,若,则 Xi=1 的个数必为 ___数。(奇或偶)

13.F(A,B,C,D)=1,其最小项表达式F=Σm(______________)。14.函数F*=____________。

15.将逻辑函数F(A , B)AB化成最小项之和的标准形式:F(A , B)mi(i=)。

16.与模拟信号相比,数字信号的特点是它的性。一个数字信号只有种

取值分别表示为和。

17.18.布尔代数中有三种最基本运算:、和,在此基础上又派生出四种基本运算,分别为、、和。

19.函数式F=AB+BC+CD 写成最小项之和的形式结果应为∑m(), 写成最大项之积的形式结果应为∏M()。,其反函数

=_______________;对偶式20.判断下列逻辑运算说法是否正确。(1)若X+Y=X+Z,则Y=Z;()(2)若XY=XZ,则Y=Z;()(3)若X⊕Y=X⊕Z,则Y=Z;()、分析下图所示的逻辑电路,写出表达式并进行简化。

填空题 已知F=m(1,3,4)求 F反=m(0,2,5,6,7)求最大项之积形式 =M(0,2,5,6,7)

第四篇:VHDL数字电路设计教程第六章习题答案

P6.1 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_1 is port(clk:in std_logic;

d_out:out std_logic_vector(5 downto 0));end;architecture bhv of chp6_1 is

signal count: std_logic_vector(5 downto 0);

signal temp: std_logic_vector(5 downto 0);begin

process(clk)

begin

if clk'event and clk='1' then

count<= count+1;

if count=“100000” then count<=“000000”;

end if;

end if;

end process;process(clk)

begin

if clk'event and clk='0' then

temp<=temp+1;

if temp=“0111111” then temp<=“000000”;

end if;end if;end process;

d_out<=count+temp;end;P6.3

solution1 library ieee;use ieee.std_logic_1164.all;entity chp6_3 is port(x:in std_Logic_vector(7 downto 1);

y:out std_logic_vector(2 downto 0));end;architecture bhv of chp6_3 is begin

process(x)

begin

if x(7)='1' then y<=“111”;

elsif x(6)='1' then y<=“110”;

elsif x(5)='1' then y<=“101”;

elsif x(4)='1' then y<=“100”;

elsif x(3)='1' then y<=“011”;

elsif x(2)='1' then y<=“010”;

elsif x(1)='1' then y<=“001”;

else y<=“000”;

end if;

end process;end;solution2 library ieee;use ieee.std_logic_1164.all;entity chp6_3_2 is generic(n:integer:=3);port(x:in std_logic_vector(2**n-1 downto 0);

y:out integer range 0 to 2**n-1);end;architecture bhv of chp6_3_2 is begin

process(x)

variable temp:integer range 0 to 2**n-1;

begin

for i in x'range loop

if x(i)='1' then

temp:=i;

exit;

end if;

end loop;

y<=temp;end process;end;P6.4

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_4 is generic(n:integer:=8);port(clk:in std_logic;

clk_out:out std_logic);end;architecture bhv of chp6_4 is signal rst:std_logic;signal temp:std_logic_vector(2 downto 0);begin process(clk,rst)begin

if rst='1' then

temp<=“000”;

elsif clk'event and clk='1' then

temp<=temp+1;

end if;end process;

rst<='1' when temp=“111” else

'0';

clk_out<=temp(2);end;P6.5

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_5 is generic(n:integer:=7);port(clk:in std_logic;

clk_out:out std_logic);end;architecture bhv of chp6_5 is signal temp:std_logic_vector(2 downto 0);signal cout:std_logic;begin process(clk)begin

if clk'event and clk='1' then

temp<=temp+1;

if temp=“110” then temp<=“000”;

end if;

end if;

end process;

cout<='1' when temp=“110” else

'0';

clk_out<=cout;end;P6.6

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity chp6_6 is

port(clk,start,stop,reset:in std_logic;

dig1,dig2,dig3:out std_logic_vector(3 downto 0));end;architecture bhv of chp6_6 is signal sec1:integer range 0 to 10;signal sec2:integer range 0 to 6;signal min:integer range 0 to 10;begin

process(clk,start, stop,reset)

variable count1:integer range 0 to 10;

variable count2:integer range 0 to 6;

variable count3:integer range 0 to 10;

begin

if reset='1' then

count1:=0;

count2:=0;

count3:=0;

elsif clk'event and clk='1' then

if start='1' and stop='0' then

count1:=count1+1;

if count1=10 then

count1:=0;count2:=count2+1;

if count2=6 then

count2:=0;count3:=count3+1;

if count3=10 then

count3:=0;

end if;

end if;

end if;

end if;

end if;

sec1<=count1;

sec2<=count2;

min<=count3;end process;

dig1<=conv_std_logic_vector(sec1,4);

dig2<=conv_std_logic_vector(sec2,4);

dig3<=conv_std_logic_vector(min,4);end;P6.8 library ieee;use ieee.std_logic_1164.all;entity chp6_8 is generic(n:integer:=8);port(input:in std_logic_vector(n-1 downto 0);

output:out std_logic);end;architecture bhv of chp6_8 is begin

process(input)

variable temp:std_logic_vector(n-1 downto 0);

begin

temp(0):=input(0);

for i in 1 to n-1 loop

temp(i):=input(i)xor temp(i-1);

end loop;

output<=temp(n-1);end process;end;

P6.9 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_9 is

generic(n:integer:=4);

port(input:std_logic_vector(n-1 downto 0);

output:out integer range 0 to n);end;architecture bhv of chp6_9 is begin process(input)

variable temp:integer range 0 to n;begin

temp:=0;

for i in 0 to n-1 loop

if input(i)='1' then

temp:=temp+1;

end if;

end loop;

output<=temp;end process;end;P6.10 library ieee;use ieee.std_logic_1164.all;entity chp6_10 is generic(n:integer :=8);port(din:in integer range 0 to n-1;

dout:out std_logic_vector(n-1 downto 0));end;architecture bhv of chp6_10 is begin process(din)

begin

for i in 0 to n-1 loop

if din=i then dout<=(i=>'1', others=>'0');

end if;

end loop;

end process;end;P6.16

library ieee;use ieee.std_logic_1164.all;entity chp6_16 is generic(n:integer:=8);port(a,b:in std_logic_vector(n-1 downto 0);

cin:in std_logic;

s:out std_logic_vector(n-1 downto 0);

cout:out std_logic);end;architecture bhv of chp6_16 is signal carry:std_logic_vector(n downto 0);begin process(a,b,cin,carry)begin

carry(0)<=cin;

for i in 0 to n-1 loop

s(i)<=a(i)xor b(i)xor carry(i);

carry(i+1)<=(a(i)and b(i))or(a(i)and carry(i))or(b(i)and carry(i));

end loop;

cout<=carry(n);

end process;end;说明:本次答案均为课上讨论过的,P6.11-P6.15均可参考第五章答案,可以利用对应语句将其放入进程中。

第五篇:卫星广播电视接收原理与安装调试论文

摘要:卫星信号具有传播迅速,干扰小,故障率低的特点,虽然网络信号已经普及,但广播电视行业仍以卫星信号为主,网络信号多作为备用信号使用。良好的卫星接收系统是信号源质量保证,所以正确的安装调整和维护卫星接收系统至关重要。文章详细论述了卫星接收系统的组成及安装调试方法,希望能为同行提供工作借鉴。

关键词:卫星电视;接收系统;调试;安装

卫星广播电视节目打破了时间、空间的限制,基本可以实现无缝接传播,具有网络无法比拟的优点。卫星传播的优越性再加上数字技术的应用和发展,使得广播电视信号的传输占用空间小,租用的卫星转发器也相应减少,传输保真度高、衰减小,因此,广播电视始终把卫星信号作为节目信号源。由于普遍采用卫星信号,卫星接收系统的选材、安装调试工作显得尤为重要,笔者结合多年的工作实践,对广播电视卫星接收系统的原理、安装调试进行分析探讨。

1卫星广播电视系统的组成与接收原理

1.1卫星广播电视系统的组成卫星广播电视接收系统由抛物面天线、高频头和卫星接收机组成。抛物面天线分正馈和偏馈两种,分别接收C波段和Ku波段。天线口径越大接收能力越强。抛物面天线由反射器和馈源两部分组成。正馈抛物面天线原理图如图1所示。高频头也称LNB,由低噪声放大器、混频器、第一本振和第一中频前置放大器组成,分C波段用的C头和Ku使用的Ku头。卫星接收机用于接收高频头送来的第一中频信号。早期的卫星接收机为模拟接收机,现在通用的接收机为数字接收机,广播电视发射台站根据自己的需要选择接收机,如果单纯的接收电视线号,就选用卫星电视接收机;如果只需要音频节目,就选择专业的广播音频接收机。

1.2卫星广播电视系统的工作原理

抛物面天线(卫星接收天线)将卫星信号反射到抛物面焦点处的馈源上,然后再经过波导管传给高频头(LNB),LNB上探针能感应到卫星高频信号,LNB电路对这个探针检测到的卫星下行信号进行低噪声放大和下变频处理,产生950—2150MHz带宽的第一中频信号,此信号经馈线输送给卫星接收机,卫星接收机对LNB放大并变频的中频信号(C频段或ku频段)进行处理。首先进行调谐选频,然后进行混频,产生第二中频信号,再经过放大后,进行QPSK解调,输出数字码流,而后进入信道解码,输出音频视频信号和下行数据。

2接收系统的安装

室外单元包括天线和高频头,室内单元包括卫星接收机和附属设施。安装一套完整的卫星接收系统,首先制作室外部分的天线底座,条件允许的话,选择空旷地带,基座最好高于地面,避免其他建筑设施影响。依次安装好抛物面天线、高频头,连接好卫星接收机和音视频设备。按照厂方提供的下行频率、符码率、极化方式等参数,调整所需的广播电视节目信号。卫星接收系统组成如图2所示。

2.1室外部件的安装

为了保证室外部分的安装质量,按照上述提到过的方式选择安装位置,除此之外还要考虑气象条件、视野及电磁干扰等诸多因素,抛物面天线座架方向最好面向南方,天线基座做好后,需进行水平矫正,底座与基座用螺丝固定紧,按要求调整天线的方位角及俯仰角。参照生产厂家的说明拼装天线板,反射板相拼接时暂不要紧固螺丝,等调整板面平整之后再紧固螺丝,避免不合适造成的返工。特别注意,安装时不可碰伤反射板,否则会影响抛物面天线的接收效率,安装馈源支杆的三瓣反射板时也应该多注意这方面的问题。天线反射面安装到天线座架上,让天线面大致对准所接收的卫星方向。按要求安装高频头的矩形波导,馈源与波导口对齐,馈源与波导口之间垫衬防水橡皮圈,之后用螺钉固定紧。高频头属于易损部件,系统连接时,把高频头和馈源装到固定盘中,高频头位置应处于抛物面天线中心的焦点位置。

2.2室内部件的安装

常规的接收方法是使用一个抛物面天线接收多套节目,从高频头接收下来的信号通过功分器分给多个接收机,接收示意图如图3所示。此外,也能使用一个双极化与两只高频头来进行接收,只用一套卫星广播电视接收天线,此种方式相对更为节约成本,如图4所示。

2.3室内设备的布置

安装室内系统时,一定要规划好安装位置,有助于防尘、维修、散热,同时又方便安装调试和管理。如果设备比较多,要对整体布局进行细致的规划。特别是各种信号线的处置,一定要美观又不至于相互干扰,电源线和饮水管道以及防雷抗干扰设施应有序设置,避免相互影响。选择各种信号线时一定要选择质量好的线材,特别是屏蔽线,屏蔽层一定要厚,这样能最大程度的抗干扰。信号线、电源线、防雷引线一定要分开布设。

3接收系统的调试

3.1卫星电视接收天线的调试

按照卫星天线的调试方法调试抛物面天线,特别是在搜索对焦卫星时,一定要细心,耐着性子进行调整,连接好卫星接收机,调整到厂家预设好的频道,通过观察卫星接收机信号强度大小的变化,逐步调整,直到接收机上显示的强度最大为止。调试卫星接收系统时,应做好以下几个方面。

1)调试天线时,最好选用带卫星信号强度的卫星接收机,现在的数字卫星接收机都具有强度显示功能。再者,一定不要弄错卫星接收机输入的数据参数。

2)卫星系统调整时,最好在天气较好的情况下进行,避免风雨雷电等恶劣天气的影响。特别注意的是,卫星接收系统连线之前不要给设备通电,所有连接线连接好以后再通电,避免馈线短路损坏设备或器件。

3)按照卫星接收系统的调试原则调整,抛物面天线大致位置、俯仰角、对焦方向、极化方向、卫星接收机的频率设置等都应该有所参考,不能盲目进行,否则费时又费力,这就靠技术人员经验的积累。另外,初次调整后,在使用一段时间后,最好还要就进行一次复调,使天线始终保持一个最佳的接收状态。

3.2接收机的调试

天线调试完成后,连接好功分器和高频头,按照预接收的卫星广播电视节目,调试接收机频道。大部分接收机出厂时已将节目频道相关参数调试好,只需根据说明书操作就能使用。需注意的是,由于不确定接收的极化性质,调试时要正确选择极性开关,使图像和伴音质量达到最佳状态。

4结论

作为一个从事广播电视技术工作的工作人员,掌握卫星接收系统的安装调整技巧,也是我们应该掌握的一项基本技能。无论是卫星接收系统的调试也罢,技术维护工作也罢,按章程办事,胆大心细,是做好技术工作的根本。

参考文献

[1]张旭东.数字卫星电视接收系统的原理、安装和调试[J].西部广播电视,2017(22):242-243.[2]姚辉明.加强卫星电视广播地面接收设施的有效管理[J].中国科技投资,2017(4):513-517.[3]黄林英.电视台卫星接收系统的运行维护[J].广播与电视技术,2017,44(6):97-100.

下载数字卫星广播 习题word格式文档
下载数字卫星广播 习题.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    2014年国家公务员【行测习题】数字推理习题(19)

    1 1.16,17,36,111,448, A.2472 B.2245 C.1863 D.1679 2.15,28,54,,210 A.100 B.108 C.132 D.106 3.2/3,1/2,3/7,7/18, A.5/9 B.4/11 C.3/13 D.2/5 4.2,3,10,15,26, A.29 B.32 C.3......

    数字(范文大全)

    复习思考题 1、 与传统模拟测图相比较,数字测图具有哪些特点?答:数字测图的实质是全解析、机助成图。数字测图的优点:数字化,自动化,高精度。 2、 根据空间数据来源以及采用仪器的......

    2013年四川下半年公务员考试数字推理习题精解

    2013年四川下半年公务员考试数字推理习题精解 【推荐课程】:2013年下半年四川公务员考试名师辅导课程 【1】 1, 3, 3, 6,5,12,A.7 B.12 C.9 D.8【2】 2, 3, 13,175, A.255......

    胡全连版数字逻辑第1章习题解答

    第一章 绪论 习题一参考答案 1.1 解释什么是数字信号? 解:数字信号指幅度的取值是离散的,幅值表示被限制在有限个数值之内。比如二进制码0和1就是一种数字信号。二进制码受干扰......

    2014广东乡镇公务员考试数字推理习题精解(5篇)

    给人改变未来的力量 1.12,2,2,3,14,2,7,1,18,3,2,3,40,10,,4 A.4B.3C.2D.1 2.3,4,6,12,36, A.186B.100C.216D.232 3.1,0,1,1,2,,5 A.5B.4C.3D.l6 4.4,3,1,12,9,3,17,5, A.12B.13C.14D.15 5.22,35,56,90,......

    根据中国教育电视台关于亚太6号卫星广播载波参数调整要求

    根据中国教育电视台关于亚太6号卫星广播载波参数调整要求,对甘肃教育卫星网参数在12月20日0:00—8:00将做如下调整:下行频率由原来的12547MHZ变为12550MHz;符号率由14.5 Msps(14......

    数字团建

    数字团建操作手册 手册编写:福建青少年事业发展中心 客服电话:12355 2014年10月 1 目录 综合管理系统操作手册—团委版 .......................................................

    数字诗歌

    数字诗歌 十九月亮八分圆,七个才子六个癫,五更四鼓鸡三唱,怀抱二月一枕眠。一名大乔二小乔,三寸金莲四寸腰。施得五六七点粉,妆成八九十分娇。一别之后,二地相悬。 都说是三四月......