第一篇:EDA实训报告总结
实训心得
短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对quartus ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了vhdl语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。
最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。
同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。
这次eda实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用eda设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。
本文基于verilog hdl的乒乓球游戏机设计,利用verilog hdl语言编写程序实现其波形数据功能在分析了cpld技术的基础上,利用cpld开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。
从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、cpld元件的应用,受益匪浅,非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。最后祝愿瓮老师身体健康,全家幸福。
通过这次课程设计,我进一步熟悉了verilog hdl语言的结构,语言规则和语言类型。对编程软件的界面及操作有了更好的熟悉。在编程过程中,我们虽然碰到了很多困难和问题,到最后还是靠自己的努力与坚持独立的完成了任务。当遇到了自己无法解决的困难与问题的时候,要有耐心,要学会一步步的去找问题的根源,才能解决问题,还请教老师给予指导和帮助。这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业很重要。通过这次课程设计,培养了我们共同合作的能力。但是此次设计中参考了其他程序段实际思想,显示出我们在程序设计方面还有不足之处。
在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。
本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。篇二:南京理工大学eda设计实验报告
摘 要
通过实验学习和训练,掌握基于计算机和信息技术的电路系统设计和仿真方法。要求:1.熟悉multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见电路分析方法。2.能够运用multisim软件对模拟电路进行设计和性能分析,掌握eda设计的基本方法和步骤。multisim常用分析方法:直流工作点分析、直流扫描分析、交流分析。掌握设计电路参数的方法。复习巩固单级放大电路的工作原理,掌握静态工作点的选择对电路的影响。了解负反馈对两级放大电路的影响,掌握阶梯波的产生原理及产生过程。
关键字:电路 仿真 multisim 负反馈 阶梯波
目 次
实验一„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 1 实验二„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 11 实验三„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 17 实验一 单级放大电路的设计与仿真
一、实验目的
1.设计一个分压偏置的单管电压放大电路,要求信号源频率5khz(峰值10mv),负载电阻5.1kω,电压增益大于50。2.调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出
信号波形,并测试对应的静态工作点值。3.调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度尽可能
大。在此状态下测试:
① 电路静态工作点值;
② 三极管的输入、输出特性曲线和?、rbe、rce值; ③ 电路的输入电阻、输出电阻和电压增益; ④ 电路的频率响应曲线和fl、fh值。
二、实验要求
1.给出单级放大电路原理图。2.给出电路饱和失真、截止失真和不失真且信号幅度尽可能大时的输出信号波形
图,并给出三种状态下电路静态工作点值。3.给出测试三极管输入、输出特性曲线和?、rbe、rce值的实验图,并给出
测试结果。
4.给出正常放大时测量输入电阻、输出电阻和电压增益的实验图,给出测试结果
并和理论计算值进行比较。5.给出电路的幅频和相频特性曲线,并给出电路的fl、fh值。6.分析实验结果。
三、实验步骤
实验原理图:
饱和失真时波形:
此时静态工作点为:
所以,i(bq)=4.76685ua
i(cq)=958.06700ua
u(beq)=0.62676v u(ceq)=0.31402v 截止失真时波形:
此时静态工作点为:
所以,i(bq)=2.07543ua
i(cq)=440.85400ua
u(beq)=0.60519v u(ceq)=5.54322v 最大不失真时波形:篇三:eda实验总结报告 数字eda实验 实验报告
学院: 计算机科学与工程学院 专业: 通信工程 学号: 0941903207 姓名: 薛蕾 指导老师: 钱强
实验一 四选一数据选择器的设计
一、实验目的
1、熟悉quartus ii软件的使用。
2、了解数据选择器的工作原理。
3、熟悉eda开发的基本流程。
二、实验原理及内容
实验原理
数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路,可以采用数据选择器进行选择再对该路信号加以利用。从多路输入信号中选择其中一路进行输出的电路称为数据选择器。或:在地址信号控制下,从多路输入信息中选择其中的某一路信息作为输出的电路称为数据选择器。数据选择器又叫多路选择器,简称mux。4选1数据选择器:
(1)原理框图:如右图。
d0、d1、d2、d3 :输入数据 a1、a0 :地址变量
由地址码决定从4路输入中选择哪1路输出。
(2)真值表如下图:
(3)逻辑图
数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。在应用中,设置一定的选择标志信号状态即可得到相应的某一路信号。这就是数据选择器的实现原理。
三.实验内容
1、分别采用原理图和vhdl语言的形式设计4选1数据选择器
2、对所涉及的电路进行编译及正确的仿真。电路图:
四、实验程序 library ieee;use ieee.std_logic_1164.all;entity mux4 is port(a0, a1, a2, a3 :in std_logic;s :in std_logic_vector(1 downto 0);y :out std_logic);end mux4;architecture archmux of mux4 is begin y <= a0 when s = 00 else--当s=00时,y=a0 a1 when s = 01 else--当s=01时,y=a1 a2 when s = 10 else--当s=10时,y=a2 a3;--当s取其它值时,y=a2 end archmux;
五、运行结果
六.实验总结
真值表分析:
当js=0时,a1,a0取00,01,10,11时,分别可取d0,d1,d2,d3.篇四:eda实习报告
中国地质大学(武汉)实习名称 :
专 业: 班级序号: 姓 名: 指导教师:
实验一 3/8 译码器的实现
一. 实验目的
1. 学习quartusⅱ 的基本操作; 2. 熟悉教学实验箱的使用; 3. 设计一个3/8 译码器; 4. 初步掌握vhdl语言和原理图的设计输入,编译,仿真和调试过程;
二. 实验说明
.本次实验要求应用vhdl语言实现一个3/8 译码器。3/8 译码器的逻辑功能如下
:
本实验要求使用vhdl语言描述3/8译码器,并在实验平台上面实现这个译码器。描述的时候要注意vhdl语言的结构和语法,并熟悉quartusⅱ的文本编辑器的使用方法。尝试使用不同的vhdl语言描述语句实现3/8译码器,并查看其rtl结构区别,理解不同描述方法对综合结果的影响。将程序下载到实验箱上分别用按键和led作为输入和输出对结果进行验证,进一步熟悉所用eda实验箱系统。所用器件eda实验箱、ep1k10tc100-3器件。
三 . 实验步骤
按照教学课件《quartus ii 使用方法》,学习quartusⅱ 软件的使用方法: 1.在windows 界面双击quartusⅱ 图标进入quartusⅱ环境; 2.单击file 菜单下的new project wizard: introduction 按照向导里面的介绍新
建一个工程并把它保存到自己的路径下面。)3.单击file 菜单下的new,选择vhdl file,后单击ok,就能创建一个后缀名为.vhd(*.bdf)的文本(原理图)文件。此vhd文件名必须与设计实体名相同。另外,如果已经有设计文件存在,可以按file 菜单里面的open 来选择你的文件。4.输入完成后检查并保存,编译。5.改错并重新编译; 6.建立仿真波形文件并进行仿真。单击 file 菜单下的 new,选择 vector waveformfile,单击 ok,创建一个后缀名为*.vwf 的仿真波形文件,按照课件上的方法编辑输入波形,保存,进行仿真,验证仿真结果是否正确; 7.选择器件及分配引脚,重新编译; 8.根据引脚分配在试验箱上进行连线,使用 led 进行显示; 9.程序下载,观察实验结果并记录;
四. 实验要求
1.用vhdl语言编写3/8译码器; 2.编写3/8译码器模块的源程序; 3.在quartusii平台上仿真; 4.在实验板上面实现这个3/8译码器。
五、vhdl源程序: library ieee;use ieee.std_logic_1164.all;entity deco3to8 is port(s:in std_logic_vector(2 downto 0);--输入端3个端口 y:out std_logic_vector(7 downto 0));--输出端7个端口 end entity;architecture behave of deco3to8 is begin with s select y<=00000001when000,--当s2,s1,s0是000时,第一个led灯亮 00000010when001,--当s2,s1,s0是001时,第二个led灯亮 00000100when010,--当s2,s1,s0是010时,第三个led灯亮 00001000when011,--当s2,s1,s0是011时,第四个led灯亮 00010000when100,--当s2,s1,s0是100时,第五个led灯亮 00100000when101,--当s2,s1,s0是101时,第六个led灯亮 01000000when110,--当s2,s1,s0是110时,第七个led灯亮 10000000when111,--当s2,s1,s0是111时,第八个led灯亮 zzzzzzzzwhen others;end behave;仿真波形:
通过在实验板上的操作,可以看到当改变s2,s1,s0的值时,对应的led灯会亮。心得体会
在本次实验中我学会了用vhdl语言编写简单的程序,检查程序的错误,如何仿真程序以及如何用实验箱观察实验结果。在本次实验中我觉得软件应用仿真比较简单,只是实验箱不好用,找了好几个才找到一个能用的实验箱,浪费了好多时间。在以后的实习中一定要先找好好用的实验箱。
实验二 bcd 七段显示译码器实验
一. 实验目的
1.了解和熟悉组合逻辑电路的设计方法和特点; 2.掌握led显示器的工作原理; 3.设计一个bcd七段显示的译码器,并在实验箱上面实现你的译码器。
二. 实验说明 led数码显示器是数字系统实验里面经常使用的一种显示器件,因为它经常显 示的是十进制或十六进制的数,所以我们就要对实验里面所用到的二进制数进行译码,将它们转换成十进制的或是十六进制的数。led数码显示器分为共阴和共阳两种,本实验使用的是共阴的连接,高电平有效。输入信号为d0,d1,d2,d3,相应的输出8段为a、b、c、d、e、f、g、dp。它们的关系表格如下:
下图为译码器逻辑图,请按图进行连线。篇五:eda实训报告
课程名称 :指导教师 : 曹老师
班 级 : 10电子1班
姓 名 : 余振
日 期 : 8路彩灯控制器
一:实训题目************************ 二:实训内容************************ 三:实训目的************************ 四:实训过程************************ 五:实训环境************************ 六:实训总结************************ 下面就从这几个方面进行论述:
ⅰ:实训项目 :8路彩灯控制器的设计。
ⅱ:实训内容:
1、彩灯明暗变换节拍为0.25s和0.5s,两种节拍交替运行。
2、演示花型3种:(1)从左向右顺次序亮,全亮后逆次序渐灭;(2)从中间到两边对称地渐亮,全亮后仍由中间向两边灭;(3)8路灯分两半,从左向右顺次渐亮,全亮后则全灭。
ⅲ:实训目的:
1、熟练掌握模拟电路、数字逻辑电路的设计、分析、仿真及调试的方法。
2、掌握使用eda(电子设计自动化)工具设计模拟电路、数字电路的方法,了解系统设计的全过程。
3、熟练掌握multisim 2001软件的基本操作及绘制原理图和进行电路仿真的一般方法
4、通过对系统电路设计与制作,进一步巩固所学的理论知识,提高分析问题和解决问题的能力。
5、通过此次实训,引导学生提高和培养自身创新能力,为后续课程的学习,毕业设计制作以及毕业后的工作打下坚实的基础。·
ⅳ:实训过程
1. 设计方案:
总体方案设计如上图,其中振荡器产生一个时钟信号,然后控制器由这个时钟信号触发而产生已如“10000000”等的序列信号,信号通过二级管就可以控制灯的亮暗了。序列信号规律的不同便会产生不同的花型。彩灯控制器是以高低电平来控制彩灯的亮与灭。如果以某种节拍按一定规律改变彩灯的输入电平值,控制才等的亮与灭,即可以按预定规则就显示一定的花型。因此彩灯控制电路需要一个能够按一定规律输出不同高低电平编码信号的编码发生器,同时还需要编码发生器所要求的时序信号和控制信号。综上所述,彩灯控制器应该由定时电路、控制电路、编码发生器电路以及驱动电路组成。2.电路方案论证: 74ls194具有双向移位,并行输入/输出,保持数据和请您功能,其中s1,s0为工作方式控制端,sl/sr为左移/右移数据输入端,d0.d1.d2.d3,为并行数据输入端,q0---q3依次为由低位到高位的4位输出端,当cr非等于零时,清零,无论其他输入如何,寄存器清零,由4 中工作方式:当cr非等于1时,s1=s2=0,且cp为低电平,保持功能q0---q3保持不变,且与cp,sr,sl信号无关。s1=0.s0=1(cp为高电
平)有一功能,从sr端串入数据给q0,然后按q0-q1-q2-q3依次右移。s1=1,s0=0(cp为高电平)左移功能,从sl端线串入数据给q3,然后按q3-q2-q1-q0依次左移。s1=s0=1(cp为高电平),并行输入功能,一片74ls194,只能寄存4为数据,而这个实验是8 路彩灯,那么就需要用量片或多篇74ls194级联策划了个多位寄存器,由于74ls194功能齐全,在实际中得到广泛应用,该寄存器在工作控制端的作用下,能实现穿行输入并行输出的转换,当s0s1=00.01.10.11时,分别执行保持,右移,左移,并行输入操作,右移时,串行信号从地4位片的sr输入,左移时,串行信号从高4位片的sl输入。
第二篇:EDA实训报告
《EDA技术及其应用》
实 训 报 告
班 级 08级电子信息工程技术2班 姓 名 学 号
指导教师
2010年 5 月 26 日 郑州信息科技职业学院 机械电子工程系
目录
一、实训名称„„„„„„„„„„„„„„„„3
二、实训目的„„„„„„„„„„„„„„„„3
三、实训器材、场地„„„„„„„„„„„„„3
四、设计思想„„„„„„„„„„„„„„„„3
五、设计任务与要求、设计源程序与模块„„„„31、2、3、4、5、设计任务„„„„„„„„„„„„„„„„3 设计要求„„„„„„„„„„„„„„„„4 设计源程序及生成模块„„„„„„„„„„4 模块连接„„„„„„„„„„„„„„„„14 引脚绑定„„„„„„„„„„„„„„„„15
六、实训方法„„„„„„„„„„„„„„„„16
七、实训心得体会„„„„„„„„„„„„„„16
一、实训名称:百年历的设计与制作
二、实训目的:1、2、3、4、5、掌握VHDL设计数字系统的应用。掌握宏功能模块的应用。
掌握系统存储器数据读写编辑器的应用。
明确设计任务和要求,了解EDA技术的基本应用过程及领域。
理解百年历的设计原理及分析方法。
三、实训器材与场地:
EDA实验箱、计算机,EDA实验室
四、设计思路:
先设计“秒”、“分”、“时”、“日”、“月”、“年”、“选择”及“调整”等模块,然后把各模块按照生活中日历时钟走动的规律连接在一起,最后调试并下载、绑定引脚、调整。
五、设计任务与要求、设计原理与模块
设计任务:1、2、3、4、5、6、7、8、9、用VHDL语言设计“秒钟”即六十进制计数器。用VHDL语言设计“分钟” 即六十进制计数器。用VHDL语言设计“时钟” 即二十四进制计数器。用VHDL语言设计“日”系统。用VHDL语言设计“月”系统。用VHDL语言设计“年”系统。用VHDL语言设计“选择”系统。用VHDL语言设计“调整”系统。
调用以上模块,在Block Diagram/Schematic File 中编辑窗口中把它们按一定规律连接起来即百年历系统。
设计要求:
在现实生活中,年份有平闰之分,当平年的2月份有28天,闰年的2月份29天,每年的1、3、5、7、8、10、12月份都是31天,4、6、9、11月份都是30天,故在设计“年、月、日”系统时必须考虑它们之间的关系,由于手中的EDA实验箱上的数码管不足,必须设计一个“选择”系统,让“年月日时分秒”分成两屏显示。在现实生活中,日期和时间在不同的地方时间不同,故需设计一个“调整”系统用来调整日期及时间。设计源程序及其生成的模块:
1、六十进制计数器源程序及其模块
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt60 is port(clk:in std_logic;
m1:out std_logic_vector(3 downto 0);
m2:out std_logic_vector(3 downto 0);
cout:out std_logic);end cnt60;architecture behav of cnt60 is begin process(clk)variable cq1,cq2:std_logic_vector(3 downto 0);begin if clk'event and clk='1' then cq1:=cq1+1;if cq1>9 then cq1:=“0000”;cq2:=cq2+1;end if;if cq2=5 and cq1=9 then cq2:=“0000”;cq1:=“0000”;cout<='1';else cout<='0';
end if;end if;m1<=cq1;m2<=cq2;end process;end;
2、二十四进制计数器源程序及其模块
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt24 is port(clk:in std_logic;
q1:out std_logic_vector(3 downto 0);
q2:out std_logic_vector(3 downto 0);
cout:out std_logic);end cnt24;architecture behav of cnt24 is begin process(clk)variable cq1,cq2:std_logic_vector(3 downto 0);begin if clk'event and clk='1' then cq1:=cq1+1;
if cq1>9 then cq1:=“0000”;cq2:=cq2+1;end if;if cq2=2 and cq1>3 then cq2:=“0000”;cq1:=“0000”;cout<='1';else cout<='0';end if;end if;q1<=cq1;q2<=cq2;end process;end;
3、“日”系统源程序及其模块
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity tian is
port(clk:in std_logic;
a: in std_logic;
b:in std_logic;
t1:out std_logic_vector(3 downto 0);
t2:out std_logic_vector(3 downto 0);
cout:out std_logic);end tian;architecture behav of tian is signal Q1,Q2: std_logic_vector(3 downto 0);
signal ab: std_logic_vector(1 downto 0);begin process(clk,a,b)begin if clk'event and clk='1'
then Q1<=Q1+1;
if Q1=9 then Q1<=“0000”;Q2<=Q2+1;
end if;
ab<=a&b;
case ab is
when“00” =>
if Q2=3 and Q1=1 then Q2<=“0000”;Q1<=“0001”;cout<='1';
else cout<='0';
end if;
when“01” =>
if Q2=3 and Q1=0 then Q2<=“0000”;Q1<=“0001”;cout<='1';
else cout<='0';
end if;
when“10” =>
if Q2=2 and Q1=8 then Q2<=“0000”;Q1<=“0001”;cout<='1';
else cout<='0';
end if;
when“11” =>
if Q2=2 and Q1=9 then Q2<=“0000”;Q1<=“0001”;cout<='1';
else cout<='0';
end if;
when others =>null;
end case;
end if;
end process;
t1<=Q1;t2<=Q2;end;
4、“月”系统源程序及其模块
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity yue is
port(clk:in std_logic;
run:in std_logic;
y1:out std_logic_vector(3 downto 0);
y2:out std_logic_vector(3 downto 0);
a,b,cout:out std_logic);end yue;architecture behav of yue is signal q1,q2 : std_logic_vector(3 downto 0);signal q1q2 : std_logic_vector(7 downto 0);begin process(clk)
begin
if clk'event and clk='1' then
q1<=q1+1;
if q1=9 then q1<=(others=>'0');
q2<=q2+1;
end if;
if q2=1 and q1=2 then q1<=“0001”;q2<=(others=>'0');
cout<='1';
else cout<='0';
end if;
end if;end process;process(clk)begin
q1q2<=q1&q2;case q1q2 is
when “00000001” => a<='0';b<='0';
when “00000010” =>
if run='0' then a<='1';b<='0';
else a<='1';b<='1';
end if;when “00000011” => a<='0';b<='0';when “00000100” => a<='0';b<='1';when “00000101” => a<='0';b<='0';when “00000110” => a<='0';b<='1';when “00000111” => a<='0';b<='0';when “00001000” => a<='0';b<='0';when “00001001” => a<='0';b<='1';when “00010000” => a<='0';b<='0';when “00010001” => a<='0';b<='1';when “00010010” => a<='0';b<='0';when others =>NULL;end case;end process;y1<=q1;
y2<=q2;end behav;
5、“年”系统源程序及其模块
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity nian is
port(clk:in std_logic;
run:out std_logic;
n1:out std_logic_vector(3 downto 0);
n2:out std_logic_vector(3 downto 0));end nian;architecture behav of nian is signal q1,q2,q: std_logic_vector(3 downto 0);begin process(clk)
begin
if clk'event and clk='1' then
q1<=q1+1;
if q1=9 then q1<=(others=>'0');
q2<=q2+1;
if q1=9 and q2=9
then q1<=“0000”;q2<=“0000”;
end if;
end if;
end if;end process;process(clk)
begin if clk'event and clk='1' then
q<=q+1;
if q=4 then run<='1';q<=“0000”;
else run<='0';
end if;
end if;end process;n1<=q1;n2<=q2;
end;
6、“调整”系统源程序及其模块
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity tiao is
port(m0,f0,s0,t0,y0:in std_logic;
k2:in std_logic;
k3:in std_logic;
fi,si,ti,yi,ni:out std_logic;
l2,l3,l4,l5,l6:out std_logic);end;architecture behav of tiao is signal a:std_logic_vector(3 downto 0);begin process(k2)begin if k2'event and k2='1' then
a<=a+1;
if a=5
then a<=“0000”;
end if;end if;case a is
when “0000”=>fi<=m0;si<=f0;ti<=s0;yi<=t0;ni<=y0;l2<='0';l3<='0';l4<='0';l5<='0';l6<='0';when “0001”=>fi<=k3;si<='0';ti<='0';yi<='0';ni<='0';l2<='1';l3<='0';l4<='0';l5<='0';l6<='0';when “0010”=>fi<='0';si<=k3;ti<='0';yi<='0';ni<='0';l2<='0';l3<='1';l4<='0';l5<='0';l6<='0';when “0011”=>fi<='0';si<='0';ti<=k3;yi<='0';ni<='0';l2<='0';l3<='0';l4<='1';l5<='0';l6<='0';when “0100”=>fi<='0';si<='0';ti<='0';yi<=k3;ni<='0';l2<='0';l3<='0';l4<='0';l5<='1';l6<='0';when “0101”=>fi<='0';si<='0';ti<='0';yi<='0';ni<=k3;l2<='0';l3<='0';l4<='0';l5<='0';l6<='1';when others=>null;end case;end process;end;12
7、“选择”系统源程序及其模块
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity kong is port(k:in std_logic;
s1,s2,f1,f2,m1,m2,n1,n2,y1,y2,t1,t2:in std_logic_vector(3 downto 0);
q:out std_logic;
a0,a1,a2,a3,a4,a5:out std_logic_vector(3 downto 0));end;architecture behav of kong is begin process(k)begin if k='1' then
a0<=m1;a1<=m2;a2<=f1;a3<=f2;a4<=s1;a5<=s2;q<='0';else
a0<=t1;a1<=t2;a2<=y1;a3<=y2;a4<=n1;a5<=n2;q<='1';end if;end process;13
end;
模块连接截图:
模块是按照生活中的日历与时钟的走动规律来连接的,“选择”模块的作用是让时间和日期分屏显示,“调整”模块的作用是调整时间和日期的。
引脚绑定图:
经过分析,我们选择按照实验电路结构图No.7进行引脚的绑定,可知每个控制引脚在EDA实验箱上对应的按键。
六、实训方法
1、设计每个小系统,调试、仿真、生成模块。
2、按照各模块的功能连接,调试。
3、引脚绑定,下载,调试。
4、调整,把日期时间调整到现在的日期时间上。按选择键切换屏显时间和日期。
七、实训心得体会:
通过本次EDA课程设计实训,在了解到百年历的基本原理的同时,我还熟练掌握了Quartus II 软件的使用方法,学会了怎么设计一个完整的系统,并且意识到作为二十一世纪的跨世纪电子信息工程专业人才,这些软硬件的应用操作常识是必不可少的。在此次实训的过程中,我虽然碰到不少困难和问题,到最后还是经过自己的不懈努力和在老师的指导与帮助下全部解决了。这次实训给我的最深的印象就是扩大自己的知识面,了解更多与本专业有关的科技信息,与时代共同进步,才能在将来成为有用的科技人才。
第三篇:EDA实训心得
实训心得
短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。
一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。
最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。
同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。
实训心得
这次EDA实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用EDA设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。
本文基于Verilog HDL的乒乓球游戏机设计,利用Verilog HDL语言编写程序实现其波形数据功能在分析了CPLD技术的基础上,利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。
从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、CPLD元件的应用,受益匪浅,非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。最后祝愿瓮老师身体健康,全家幸福。
实训心得
通过这次课程设计,我进一步熟悉了Verilog HDL语言的结构,语言规则和语言类型。对编程软件的界面及操作有了更好的熟悉。在编程过程中,我们虽然碰到了很多困难和问题,到最后还是靠自己的努力与坚持独立的完成了任务。当遇到了自己无法解决的困难与问题的时候,要有耐心,要学会一步步的去找问题的根源,才能解决问题,还请教老师给予指导和帮助。这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业很重要。通过这次课程设计,培养了我们共同合作的能力。但是此次设计中参考了其他程序段实际思想,显示出我们在程序设计方面还有不足之处。
在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。
本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。
第四篇:EDA实训心得体会
EDA实训心得体会
经过一周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。如下是小编给大家整理的EDA实训心得体会,希望对大家有所作用。
EDA实训心得体会篇【一】
大三时候开始了专业课的学习,其中EDA就是要学的一门专业课,课程刚开始的时候,对EDA技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。首先,通过对这门课程相关理论的学习,我掌握了EDA的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。实现这种进步的主要原因就是微电子技术和电子技术的发展。前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化EDA技术,由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些VHDL语言相对于其他编程语言的特点。
在接触VHDL语言之前,我已经学习了C语言,汇编语言,而相对于这些语言的学习,VHDL 具有明显的特点。这不仅仅是由于VHDL 作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的是由于VHDL 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。因此,任何复杂的程序在一个单CPU 的计算机中的运行,永远是单向和一维的。因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。
在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,感觉学到的很没用,我们现在学到的还很少,只是编写一些简单的程序。相反的,每次做完实验之后,都会感觉自己收获不少,每次都会有问题,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。现在感觉到对这门课还只有很少的认识,所以希望很认真的续下去。
EDA实训心得体会篇【二】
短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。
最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。
同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。
这次EDA实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用EDA设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。
本文基于Verilog HDL的乒乓球游戏机设计,利用Verilog HDL语言编写程序实现其波形数据功能在分析了CPLD技术的基础上,利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。
从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、CPLD元件的应用,受益匪浅,非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。最后祝愿瓮老师身体健康,全家幸福。
通过这次课程设计,我进一步熟悉了Verilog HDL语言的结构,语言规则和语言类型。对编程软件的界面及操作有了更好的熟悉。在编程过程中,我们虽然碰到了很多困难和问题,到最后还是靠自己的努力与坚持独立的完成了任务。当遇到了自己无法解决的困难与问题的时候,要有耐心,要学会一步步的去找问题的根源,才能解决问题,还请教老师给予指导和帮助。这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业很重要。通过这次课程设计,培养了我们共同合作的能力。但是此次设计中参考了其他程序段实际思想,显示出我们在程序设计方面还有不足之处。
在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。
本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。
第五篇:EDA实训心得
实训心得
本学期末我们进行了EDA实训,我们组做的是四路智能抢答器,不过本次实训与以往最大的不同是在熟练并掌握Verilog硬件描述语言的基础上,运用Quartus软件,对其进行波形以及功能的仿真。我们组抢答器的设计要求是:可容纳四组参赛者,每组设置一个抢答按钮供抢答者使用,电路具有第一抢答信号的鉴别和锁存功能,系统具有计分、倒计时和倒计时锁存等电路,输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。整个系统至少有三个主要模块:抢答鉴别模块、抢答计时模块、抢答计分模块。
实训的第一天我们组三个人就开始对抢答器的各部分源程序进行调试,由于刚开始对于quartus2软件用的不是很熟练,所以在第一天几乎上没有啥大的进展,一直都在改程序中的错误。在不停的重复的编译、改错。拿着EDA修改稿、资料书检查出错的地方,一边又一遍的校对分析其中的错误。
在实训中我们遇到了很多的问题。为了解决这些问题我和他们
两个都在的想办法通过各种渠道寻找解决问题的方法。上网查资料、问同学、图书馆查资料、问老师、自己想办法,其实最有效的方法还是自己去想那样学到的东西才会更加的深刻记得时间也是最长的,他人的帮助当然是很好的,但只是暂时的要想真正的学到东西还是要靠自己去想办法。不能一有问题就希望要他人帮忙,一定自己先好好想想实在解决不了的再去问老师找同学。
由于在一开始的时候对quartus2软件的不熟悉耽误了很多的时间,在接下来的几天里遇到了不少的问题。刚开始的时候是源程序中的错误一直在那改,好不容易几个模块中的错误都一个个排除了,但当把他们放到一起时问题就又出现了。于是又开始了检查修改,可是弄了好长时间也没有弄明白,最后找了一个在实验室的同学说是顶层文件有问题。于是晚上又找了些关于顶层文件资料还有课本上的例子。最后对步骤已经有了很熟练的掌握,很快就完成了程序编译、仿真、下载到最后的调试。
“纸上谈来终觉浅,绝知此事要躬行。”在这短暂的两周实训中深深的感觉到了自己要学的东西实在是太多了,自己知道的是多么的有限,由于自身专业知识的欠缺导致了这次实训不是进行的很顺利,通过这次实训暴露了我们自身的诸多的不足之处,我们会引以为鉴,在以后的生活中更应该努力的学习。
虽然实训仅仅进行了两个星期就匆匆的结束了,但在这两个星期中收获还是很多的。实训的目的是要把学过的东西拿出来用这一个星期的实训中不仅用了而且对于quartus2软件的使用也更加的得
心应手,这次实训提高了我们的动手能力、理论联系实际的能力、发现问题分析问题解决问题的能力。实训只要你认真做了都是对自己能力一次很大的提高。
本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨,时刻在帮助着我们去提高自己。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅是我学习的楷模,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。