《计算机组成原理实验》教学大纲(信息)[五篇模版]

时间:2019-05-12 07:54:26下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《《计算机组成原理实验》教学大纲(信息)》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《《计算机组成原理实验》教学大纲(信息)》。

第一篇:《计算机组成原理实验》教学大纲(信息)

《计算机组成结构实验》教学大纲

(Experiments of Computer Orgnaization)

一、基本信息

课程代码:1200211 学分:1 总学时:28

适用对象:计算机科学与技术专业 先修课程:无

二、课程性质、教学目的和要求

(一)课程性质和目的

《计算机组成结构实验》是为配合《计算机组成结构原理》课程的教学而开设的独立实验课程,主要培养学生在计算机组成方面的基本知识,了解模型机的设计、调试与分析。

通过本实验大纲所安排的实验内容,使学生能更好地理解和掌握计算机组成结构的工作原理、内部各单元的工作过程和涉及的相应技术,锻炼学生的动手能力以及一定的简单计算机设计能力。

(二)教学方法和手段

《计算机组成结构实验》课程的教学方式包括课堂教学、课堂实验、实验考核和实验报告四部分。

课堂教学部分教师可采用多媒体教学展示及现场操作演示两种方式开展。课堂实验部分学生独立实验,根据实验目的及要求开展实验活动。实验考核部分由教师根据实验目的检查学生的实验结果,进行实际测评。

实验报告部分由学生递交详细实验报告,记录实验过程、心得体会、所遇到的问题及解决问题的过程。

(三)教学安排及实验环境 学时数:28学时 学时安排:实验一~实验八每个实验2学时。

实验九~实验十一每个实验4学时。

所有教学课程均在计算机组成实验室开展。

三、教学内容及学时分配

实验一 实验台基本操作(2学时)

(一)目的

1、了解实验台基本结构,熟悉实验台连线的识别、实验台控制信号标识规则。

2、掌握实验基本操作方法,为以后的实验作技术准备。

(二)内容

1、熟悉实验台各个组成部分。

2、把输入开关上的数据送总线。

3、把总线上的数据输出到输出部件。实验二 算术逻辑运算实验(2学时)

(一)目的

1、了解运算器芯片(74LS181)的逻辑功能。

2、掌握运算器数据的载入、读取方法,掌握运算器工作模式的设置。

3、观察在不同工作模式下数据运算的规则。

(二)内容

1、完成运算器的数据传输操作。

2、验证运算器的各项运算功能。实验三 进位与判零控制实验(2学时)

(一)目的

1、掌握运算器标志位产生的方法。

2、通过实验从实际电路中观察标志位产生的过程。

(二)内容

1、观察运算器的判零标志。

2、观察运算结果的进位标志。

3、完成多位数的算术运算功能。实验四 寄存器读写实验(2学时)

(一)目的

1、掌握通用寄存器的组成及数据传输操作。

(二)内容

1、完成通用寄存器组的写入操作,读出操作。实验五 移位控制实验(2学时)

(一)目的

1、了解移位寄存器芯片(74LS299)的逻辑功能。

2、掌握移位寄存器数据载入、左移、右移及带进位左右移的方法。

3、掌握移位寄存器工作模式的设置,观察在不同工作模式下移位寄存器的逻辑功能。

(二)内容

1、完成移位寄存器的数据传输操作。

2、完成各种移位功能操作。实验六 存储器读写实验(2学时)

(一)目的

1、掌握存储器的工作特性。

2、熟悉静态存储器的操作过程,验证存储器的读写方法。

(二)内容

1、完成地址总线的相关操作。

2、完成存储器的写入操作,读出操作。实验七 总线数据传输控制实验(2学时)

(一)目的

1、理解总线的概念,了解总线的作用和特性。

2、掌握用总线传输数据的控制原理和方法。

(二)内容

2、完成运算器、寄存器、移位寄存器、存储器、输入输出部件等单元的数据传输和数据处理操作。

实验八 脱机方式下微代码装入与执行实验(2学时)

(一)目的

1、通过微程序的编制、装入、执行,验证微程序控制器控制的工作方法。

2、观察微程序的运行过程,为进行简单模型计算机实验作准备。

(二)内容

1、微代码的写入和校验。

2、单步执行微代码。

3、连续执行微代码。

实验九 简单模型计算机实验(4学时)

(一)目的

1、通过实验分析简单模型机结构,了解计算机工作原理。

2、掌握计算机微程序控制器的控制方法,掌握计算机指令执行过程。

(二)内容

1、设计模型机指令系统。

2、编制微程序实现各条指令功能。

3、按指定功能要求编制程序,并运行、调试。实验十 带移位运算的模型机设计与实现(4学时)

(一)目的

1、进一步熟悉用微程序控制方式构造模型计算机的过程。

2、掌握调试计算机的基本步骤及方法。

(二)内容

1、设计模型机指令系统。

2、编制微程序实现各条指令功能。

3、按指定功能要求编制程序,并运行、调试。实验十一 机器指令设计实验(4学时)

(一)目的

1、综合运用所学计算机原理知识,设计指令的微代码。

2、提高学生对计算机机器指令的理解,锻炼学生自己动手设计模型计算机机器指令的能力。

(二)内容

1、设计模型机指令系统。

2、编制微程序实现各条指令功能。

四、考核方式及成绩评定标准

考核方式:本实验课程考核方式包括实验理论和实验操作两部分。

成绩评定标准:本实验课程总成绩包括实验报告成绩、实验操作成绩、期末考试成绩三部分。其中实验报告成绩占20%,实验操作成绩占30%,期末考试成绩占50%。实验报告成绩评定为五分制(A/B/C/D/E),实验操作成绩评定为五分制(A/B/C/D/E),期末考试成绩评定为百分制,总成绩评定为百分制。

五、教材及主要参考书目

教材: 张建中、严义,《计算机组成原理实验指导》,浙江科学技术出版社。参考书:[1]、包健、冯建文、章复嘉,《计算机组成原理》,浙江科学技术出版社。

[2]、王爱英,《计算机组成与结构》,清华大学出版社,第二版,2000.7

执笔人:倪金龙

第二篇:计算机组成原理教学大纲

教 学 大 纲

第一章 计算机系统概论

教学内容

一、计算机的分类与应用

二、计算机的硬件组成

三、计算机的软件

四、计算机的层次结构

第二章 运算方法和运算器

一、学习目的和基本要求

(一)掌握数字数据与非数值数据的表示

(二)掌握定点运算方法

(三)了解定点运算器

(四)了解浮点运算方法及浮点运算器

二、重点难点

计算机中数的四种机器码表示、数据格式(定点与浮点)、字符与汉字的表示方法、奇偶校验、定点加减运算、定点与浮点的溢出检测

三、教学内容

(一)数据格式

(二)四种机器码表示

(三)非数值数据的表示

(四)定点运算和定点运算器

(五)浮点运算方法

(六)浮点运算流水线和浮点运算器

第三章 存储系统一、学习目的和基本要求

(一)了解存储器的基本概念

(二)掌握SRAM与DRAM的组成及工作原理

(三)了解只读存储器的特点

(四)掌握提高存储器速度的方法

(五)掌握cache的工作原理,了解pentium PC机的cache

(六)了解虚拟存储器的原理及分类

二、重点难点

存储器的分级结构、SRAM与DRAM特点、字位扩展、DRAM的刷新、Cache原理、虚拟存储技术

三、教学内容

(一)存储器的分类、分级结构、主要技术指标

(二)SRAM(组成、特点)

(三)DRAM(特点、刷新)

(四)CPU与存储器的连接(字位扩展)

(五)CPU对存储器的读写操作

(六)EDRAM

(七)只读存储器(特点、分类),闪速存储器(特点、应用)

(八)高速存储器(原理)

(九)Cache

(十)虚拟存储技术

第四章 指令系统一、学习目的和基本要求

(一)了解指令系统的基本概念及性能要求

(二)掌握指令格式

(三)掌握指令和数据的寻址方式(包括堆栈寻址方式)

(四)了解指令的分类及基本指令系统,掌握精简指令系统的特点

二、重点难点

指令格式、指令寻址方式、操作数寻址方式

三、教学内容

(一)指令系统的基本概念

(二)指令格式

(三)指令寻址方式

(四)数据寻址方式

(五)堆栈寻址方式

(六)指令的分类

(七)RISC与CISC

第五章 中央处理器

一、学习目的和基本要求

(一)掌握中央处理器的功能和组成

(二)了解指令周期的概念

(三)了解计算机中时序信号的作用和体制,掌握时序控制方式

(四)了解控制器的分类、原理和技术

(五)掌握流水CPU的结构及相关问题,了解奔腾CPU的流水结构

二、重点难点

CPU的功能、CPU的基本组成、CPU中的主要寄存器、指令周期、时序信号的作用、微程序控制器与微程序设计技术

三、教学内容

(一)CPU的功能

(二)CPU的组成(基本组成、主要寄存器)

(三)指令周期

(四)时序产生器的作用和控制方式

(五)微程序控制器(微程序概念、原理、机器指令与微指令的关系)

(六)微程序设计技术(微指令格式)

(七)硬布线控制器(基本思想)

(八)流水CPU

第六章 总线系统一、学习目的和基本要求

(一)了解总线的基本概念及作用

(二)掌握接口的概念及作用

(三)掌握总线的总裁和定时方式

(四)了解多总线结构和PCI的特点

二、重点难点

总线结构对计算机系统性能的影响、总线接口、信息的传送方式、总线的总裁方式

三、教学内容

(一)总线结构对计算机系统性能的影响

(二)总线接口(基本概念、功能)

(三)总线的仲裁、定时方式

第七章 外围设备

一、学习目的和基本要求

(一)了解外围设备的功能和分类

(二)掌握显示设备的分类及有关概念

(三)了解打印设备的分类

(四)掌握硬磁盘存储设备的工作原理、技术指标

二、重点难点

显示设备的分类与有关概念、硬磁盘存储设备

三、教学内容

(一)外设的分类

(二)显示设备

(三)打印设备

(四)硬磁盘存储设备

第八章 输入输出系统一、学习目的和基本要求

(一)了解外围设备的定时方式与信息交换方式

(二)掌握中断的基本概念及工作方式

(三)掌握DMA传送方式

(四)了解通道的功能及分类

二、重点难点 程序中断方式的基本概念、DMA传送方式

三、教学内容

(一)外围设备的定时方式

(二)程序中断方式(中断的概念、中断接口、中断处理过程)

(三)DMA方式(DMA基本概念、传送方式、分类)

(四)通道方式(功能、类型)

第三篇:计算机组成原理实验

ALU设计

module ALU(ALU_OP,AB_SW,F_LED_SW,LED);

input[2:0] ALU_OP,AB_SW,F_LED_SW;

output[7:0] LED;reg[7:0] LED;

reg[31:0] A,B,F;reg OF,ZF;

always@(*)begin

end

always@(*)begin

ZF=0;OF=0;case(ALU_OP)

3'b000: begin F=A&B;end 3'b001: begin F=A|B;end 3'b010: begin F=A^B;end 3'b011: begin F=~(A|B);end 3'b100: begin {OF,F}=A+B;OF=OF^F[31];end 3'b101: begin {OF,F}=A-B;OF=OF^F[31];end 3'b110: begin F=A

3'b000: begin A=32'h0000_0000;B=32'h0000_0000;end 3'b001: begin A=32'h0000_0003;B=32'h0000_0607;end 3'b010: begin A=32'h8000_0000;B=32'h8000_0000;end 3'b011: begin A=32'h7FFF_FFFF;B=32'h7FFF_FFFF;end 3'b100: begin A=32'h8000_0000;B=32'hFFFF_FFFF;end 3'b101: begin A=32'hFFFF_FFFF;B=32'h8000_0000;end 3'b110: begin A=32'h1234_5678;B=32'h3333_2222;end 3'b111: begin A=32'h9ABC_DEF0;B=32'h1111_2222;end endcase endcase

if(F==32'b0)ZF=1;end

always@(*)begin

end case(F_LED_SW)

3'b000: LED=F[7:0];3'b001: LED=F[15:8];3'b010: LED=F[23:16];3'b011: LED=F[31:24];default:begin LED[7]=ZF;LED[0]=OF;LED[6:1]=6'b0;end endcase

endmodule 管脚配置

NET “AB_SW[0]” LOC = T10;NET “AB_SW[1]” LOC = T9;NET “AB_SW[2]” LOC = V9;NET “ALU_OP[0]” LOC = M8;NET “ALU_OP[1]” LOC = N8;NET “ALU_OP[2]” LOC = U8;NET “F_LED_SW[0]” LOC = V8;NET “F_LED_SW[1]” LOC = T5;NET “F_LED_SW[2]” LOC = B8;NET “LED[0]” LOC = U16;NET “LED[1]” LOC = V16;NET “LED[2]” LOC = U15;NET “LED[3]” LOC = V15;NET “LED[4]” LOC = M11;NET “LED[5]” LOC = N11;NET “LED[6]” LOC = R11;NET “LED[7]” LOC = T11;寄存器 module jicunqi(input Clk, input Reset, input [4:0] Reg_Addr, input Write_Reg, input [1:0] Sel, input AB, output reg [7:0] LED);reg [31:0] W_Data;wire [31:0] R_Data_A,R_Data_B,LED_Data;REG RU1(Clk,Reset,Reg_Addr,Reg_Addr,Reg_Addr,W_Data,Write_Reg,R_Data_A,R_Data_B);assign LED_Data=AB?R_Data_A : R_Data_B;always @(*)begin

W_Data=32'h0000_0000;

LED=8'b0000_0000;

if(Write_Reg)

begin

case(Sel)

2'b00: W_Data= 32'h1234_5678;

2'b01: W_Data= 32'h89AB_CDEF;2'b10: W_Data= 32'h7FFF_FFFF;2'b11: W_Data= 32'hFFFF_FFFF;endcase end

else

begin

case(Sel)

2'b00: LED=LED_Data[7:0];2'b01: LED=LED_Data[15:8];2'b10: LED=LED_Data[23:16];2'b11: LED=LED_Data[31:24];

endcase end end endmodule `timescale 1ns / 1ps // REG.v module REG(input Clk, input Reset, input [4:0] R_Addr_A, input [4:0] R_Addr_B, input [4:0] W_Addr, input [31:0] W_Data, input Write_Reg, output [31:0] R_Data_A, output [31:0] R_Data_B);

reg [31:0] REG_Files[0:31];integer i;

assign R_Data_A=REG_Files[R_Addr_A];assign R_Data_B=REG_Files[R_Addr_B];

always @(posedge Clk or posedge Reset)begin

if(Reset)

begin

for(i=0;i<=31;i=i+1)

REG_Files[i]<=32'h0000_0000;

end

else

begin

if(Write_Reg)

begin

REG_Files[W_Addr]<=W_Data;

end end end endmodule

管脚配置 NET “Clk” LOC=“C9”;NET “Reset” LOC=“D9”;NET “Reg_Addr[4]” LOC=“T5”;NET “Reg_Addr[3]” LOC=“V8”;NET “Reg_Addr[2]” LOC=“U8”;NET “Reg_Addr[1]” LOC=“N8”;NET “Reg_Addr[0]” LOC=“M8”;NET “Write_Reg” LOC=“V9”;NET “Sel[1]” LOC=“T9”;NET “Sel[0]” LOC=“T10”;NET “AB” LOC=“A8”;NET “LED[7]” LOC=“T11”;NET “LED[6]” LOC=“R11”;NET “LED[5]” LOC=“N11”;NET “LED[4]” LOC=“M11”;NET “LED[3]” LOC=“V15”;NET “LED[2]” LOC=“U15”;NET “LED[1]” LOC=“V16”;NET “LED[0]” LOC=“U16”;

第四篇:计算机组成原理课程设计教学大纲

计算机组成原理课程设计教学大纲

实习名称:计算机组成原理课程设计 课程编码:042219 学 分:3 实习周数:3

适用专业:计算机科学与技术

一、实习的目的与任务

通过该课程设计的学习,利用先进的EDA设计手段,总结计算机组成原理课程的学习内容,学会ISPEXPERT SYSTEM的使用、层次化设计方法、多路开关,逻辑运算部件,移位器设计、微程序控制的运算器设计、微程序控制的存储器设计、简单计算机的设计,从而巩固课堂知识、深化学习内容、完成教学大纲要求,学好计算机科学与技术专业的专业基础课。

二、实习教学的基本要求

1、参加课程设计的学生必须服从指导教师的指导,遵守纪律,按时、按指导教师的要求独立完成课程设计的任务。

2、每次设计指导教师必须指导学生,并严格考勤。

三、实习内容

1)实习地点:本课程设计的实习地点为计算机硬件基础实验室。2)实习内容:

1:ISPEXPERT SYSTEM的使用:学会ISPEXPERT SYSTEM软件的使用,然后利用此系统完成:一位全加器设计、并行八位寄存器设计,并形成.JED文件,下载到实验箱上,在实验箱上用开关验证。

2:层次化设计方法:学会层次化设计方法,利用该方法完成:同步二进制计数器、多位二进制加法器,并形成.JED文件,下载到实验箱上,在实验箱上用开关验证

3:多路开关,逻辑运算部件,移位器设计:完成多路开关、逻辑运算部件,移位器设计为下面的运算器、存储器设计做好准备。

4:微程序控制的运算器设计:按要求设计一个微程序控制的运算器,输入取数及运算指令,单步执行指令,得出结果。

5:微程序控制的存储器设计:按要求设计一个微程序控制的存储器,按要求进行操作,将数据存入指定单元,并将制定单元中的内容读出送寄存器。

6:简单计算机的设计:按要求设计一台由简单指令作为指令集的计算机,并用该简单指令编写一段程序,运行该程序,得出正确结果。3)课程设计时间安排

课程设计的时间历经3周,大致安排如下: 第一周:第一、第二个设计。第二周:第三、第四个设计。第三周:第五、第六个设计。

每完成一个设计,学生应及时提交报告。

四、考核办法

同学们在实验前应该认真准备实验,根据实验讲义和课堂上学到的知识写出实验报告,带到实验现场。

1、ISPEXPERT SYSTEM的使用

在本次实验中,学会ISPEXPERT SYSTEM软件的使用,然后利用此系统完成: 〈1〉 一位全加器设计〈2〉 并行八位寄存器设计

并形成.JED文件,下载到实验箱上,在实验箱上用开关验证。评分细则:参加实验: 0.2分

完成实验报告: 0.2分

完成一位全加器设计: 0.3分

完成八位并行寄存器设计:0.3分

2、层次化设计方法

在本次实验中,学会层次化设计方法,利用该方法完成: 〈1〉同步二进制计数器〈2〉多位二进制加法器

并形成.JED文件,下载到实验箱上,在实验箱上用开关验证 评分细则:参加实验: 0.2分

完成实验报告: 0.2分

完成同步二进制计数器 0.3分

完成多位二进制加法器 0.3分

3、多路开关,逻辑运算部件,移位器设计

在本次实验中,完成多路开关、逻辑运算部件,移位器设计为下面的运算器、存储器设计做好准备。

评分细则:参加实验: 0.2分 完成实验报告: 0.2分

完成多路开关 0.2分

完成逻辑运算部件 0.2分

完成移位器 0.2分

4、微程序控制的运算器设计

按要求设计一个微程序控制的运算器,输入取数及运算指令,单步执行指令,得出结果。

评分细则:参加实验: 0.3分

完成实验报告: 0.3分

完成所要求的运算器设计 0.45分

正确进行操作并回答问题 0.45分

5、微程序控制的存储器设计

按按要求设计一个微程序控制的存储器,按要求进行操作,将数据存入指定单元,并将制定单元中的内容读出送寄存器。

评分细则:参加实验: 0.3分

完成实验报告: 0.3分

完成所要求的存储器设计 0.45分 正确进行操作并回答问题 0.45分

6、简单计算机的设计

按要求设计一台由简单指令作为指令集的计算机,并用该简单指令编写一段程序,运行该程序,得出正确结果。

评分细则:参加实验: 0.4分 完成实验报告: 0.4分

完成所要求的简单计算机设计 0.6分

正确进行操作并回答问题 0.6分 实验结束由实验指导教师根据实验记录,算出成绩。

4.8分~5.5分 及格 5.6分~6.3分 中等 6.4分~7.1分 良好 7.2分以上 优秀

五、主要参考书:计算机组成原理实验指导书

六、实习教学建议:

执笔人:王海瑞 审定人:雷金辉 教学基层组织主任:雷金辉

第五篇:_计算机组成原理实验2

计算机组成原理实验日志

实验题目:

进位、移位控制实验

实验目的:

(1)了解带进位控制的运算器的组成结构;(2)验证带进位控制的运算器的功能。(3)了解移位发生器74LS299的功能;(4)验证移位控制电路的组合功能。实验主要步骤:

一、进位

(1)按图1.2-2连接实验电路并检查无误。(2)打开电源开关。

(3)用输入开关向暂存器DR1和DR2置数,方法同前。

(4)关闭数据输入三态门(SW-B=1),打开ALU输出三态门(ALU-B=0),并使LDDR1=0、LDDR2=0,关闭寄存器打入控制门。

(5)对进位标志清零。实验板上“SWITCH UNIT”单元中的CLR开关为标志CY、ZI的清零开关,它为零状态时是清零状态,所以将此开关做1→0→1操作,即可使标志位清零。

注意:进位标志指示灯CY亮时表示进位标志为“0”,无进位;标志指示灯CY灭时表示进位为“1”,有进位。

图1.2-1 带进位运算器通路图

图1.2-2 带进位运算实验接线图

(6)验证带进位运算及进位锁存功能。使Cn=1,AR=0,进行带进位算术运算。例如,做加法运算,使ALU-B=0,S3、S2、S1、S0、M的状态为1、0、0、1、0,此时数据总线上显示的数据为DR1加DR2加当前进位标志的和,但这时的进位状态位还没有打入进位锁存器中,(它是要靠T4节拍来打入的。)这个结果是否有进位产生,则要按动微动开关KK2,若进位标志灯亮,则无进位,反之则有进位。因为做加法运算时数据总线一直显示的数据为DR1+DR2+CY,所以当有进位输入到进位锁存器时,总线显示的数据将为加上当前进位锁存器中锁存的进位的结果。

二、移位

(1)按图1.3-2连接实验电路并检查无误。(2)打开电源开关。(3)向移位寄存器置数。

①拨动输入开关,形成二进制数01101011(或其它数值)。

②使SWITCH UNIT单元中的开关SW-B=0,打开数据输入三态门。③使S0=

1、S1=1,并按动微动开关KK2,则将二进制数01101011置入了移位寄存器。

④使SW-B=1,关闭数据输入三态门。(4)移位运算操作。

①参照表1.3-1中的内容,先将S1、S0置为0、0,检查移位寄存器单元装入的数是否正确,然后通过改变S0、S1、M、299-B的状态,并按动微动开关KK2,观察移位结果。

②根据移位控制电路功能表1.3-1中的内容,分析移位运算的结果是否正确。

图1.3-2 移位运算实验接线图

实验结果: 一.进位

向DR1中置入80H 向DR2中置入 80H CY初始位置为亮 0 加法完成后 CY为灭总线显示01H 二.移位

输入00011000 移位后 00110000 心得体会:

通过本次实验了解了的带进位的加法和移位器的原理。

下载《计算机组成原理实验》教学大纲(信息)[五篇模版]word格式文档
下载《计算机组成原理实验》教学大纲(信息)[五篇模版].doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    _计算机组成原理实验3

    计算机组成原理实验日志3 实验题目: 静态随机存储器实验 实验目的: 掌握静态随机存储器RAM工作特性及数据的读/写方法。 实验主要步骤: 形成时钟脉冲信号T3。具体接线方法和......

    计算机组成原理实验(存储器)

    实验3 半导体存储器原理实验 (一)、实验目的 (1)熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法; (2)熟悉半导体存储器存储和读出数据的过程; (3)了解使用半导体存储器电......

    《计算机组成原理》课程设计教学大纲[推荐5篇]

    《计算机组成原理》课程设计教学大纲 课程编号: 学时/学分:1周/1 授课单位:电子与信息工程学院计算机科学系 适用专业:计算机科学与技术 教材及主要参考资料: 《计算机组成与系统......

    《计算机组成原理课程设计》教学大纲[五篇范文]

    《计算机组成原理课程设计》教学大纲 设计周数:3周 学分:3 学分 适用专业:计算机科学与技术 预修课程:数字逻辑,数字逻辑课程设计,计算机组成原理 一、设计的目的及要求: 本课程设......

    计算机组成原理课程教学大纲20100902

    《计算机组成原理》课程教学大纲 Computer Organization and Architecture 课程代码:24100747 课程性质:专业基础理论课,必修 适用专业:计算机科学与技术软件工程、网络工程总......

    《计算机组成原理》教学大纲要点(合集五篇)

    《计算机组成原理》教学大纲 本门课程的教学目标和要求: 《计算机组成原理》是计算机科学与技术专业的一门核心专业基础课。通过本课程的学习,使学生掌握计算机系统的基本组成......

    计算机组成原理

    《计算机组成原理》实验任务计 识。 算机原理是计算机科学与技术及相关专业的一门专业基础课,是一门重点科,在计算机硬件的各个领域中运会用到计算计原理的有关知 本实验课程......

    计算机组成原理实验报告+++数据通路实验

    数据通路组成实验 一、实验目的 (1)将双端口通用寄存器组和双端口存储器模块联机;(2)进一步熟悉计算机的数据通路; (3)掌握数字逻辑电路中故障的一般规律,以及排除故障的一般原则和方......