数字电路课程设计 数字钟

时间:2019-05-13 23:58:01下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《数字电路课程设计 数字钟》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《数字电路课程设计 数字钟》。

第一篇:数字电路课程设计 数字钟

摘 要

数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。

振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。

分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。

计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。

译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。

为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。关键词 数字钟 振荡 计数 校正 报时

目 录 设计目的...........................................................4 2 设计任务...........................................................4 3数字电子钟的组成和工作原理..........................................4 3.1数字钟的构成......................................................4 3.2原理分析..........................................................4 3.3数字点钟的基本逻辑功能框图........................................5 4.数字钟的电路设计..................................................5 4.1 秒信号发生器的设计...............................................6 4.2时间计数电路的设计................................................8 4.3译码显示电路.....................................................10 4.4正点报时电路的设计................................................12 4.5校时电路的设计....................................................13 5设计心得........................................................14 6参考文献.............................................................15

1设计目的

在学完了《数字电子技术基础》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。

2设计任务

2.1设计指标

1.时间计数电路采用24进制,从00开始到23后再回到00; 2.各用2位数码管显示时、分、秒;

3.具有手动校时、校分功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4.计时过程具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。5.为了保证计时的稳定及准确,须由晶体振荡器提供时间基准信号。2.2设计要求

根据选定方案确定实现设计要求的基本电路和扩展电路,画出电路原理图。

3数字电子钟的组成和工作原理

3.1数字钟的构成

数字钟一般由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等部分组成,这些都是数字电路中应用最广的基本电路。3.2原理分析

数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。3.3数字点钟的基本逻辑功能框图

图1 数字钟的基本逻辑框图

4数字钟的电路设计

下面将介绍设计电路具体方案:其中包括电源电路的设计、秒信号发生器的设计、时间计数电路的设计、译码驱动显示电路的设计、正点报时电路的设计、校时电路的设计几个部分。

4.1 秒信号发生器的设计

晶体振荡分频电路石英晶体振荡电路 1.采用频率fs=32768Hz的石英晶体。

D1、D2是反相器,D1用于振荡,D2用于缓冲整形。Rf为反馈电阻(10~100MΩ),反馈电阻的作用是为CMOS反相器提供偏置,使其工作在放大状态。C1是频率微调电容,改变C1可对振荡器频率作微量调整,C1一般取5~35pF。C2是温度特性校正用的电容,一般取20~405pF,电容C1、C2与晶体共同构成Ⅱ型网络,完成对振荡器频率的控制,并提供必要的1800相移,最后输出fs=32768Hz。

图4 石英晶体振荡电路

2.多级分频电路

将32 768Hz脉冲信号输入到CD4060(内部结构如图4-4)组成的脉冲振荡的14位二进制计数器,所以从最后一级Q14输出的脉冲信号频率为:32768/214 = 32768/16384 = 2Hz 如图6。再经过二次分频,得到1Hz的标准信号脉冲,即秒脉冲如图7。

图5 CD4060内部结构

图6 脉冲分频电路

图7 秒信号原理图

图8 晶体振荡及分频电路

4.2时间计数电路的设计

秒信号经秒计数器、分计数器、时计数器之后,分别得到“秒”个位、十位、“分”个位、十位以及“时”个位、十位的计时输出信号,然后送至译码显示电路,以便实现用数字显示时、分、秒的要求。“秒”和“分”计数器应为六十进制,而“时”计数器应为二十四进制。采用10进制计数器74LS162来实现时间计数单元的计数功能,其为双2-5-10异步计数器,并且每一计数器均有异步清零端(高电平有效)。4.2.1“分”、“秒”六十进制计数器

选用两块74LS162采用异步清零的方法完成60进制。以“秒”计数为例:计秒时,将秒个位计数单元的QA与CP(下降沿有效)相连,将74LS162连接成10进制计数器,BCPA(下降沿有效)与1HZ秒输入信号相连,QD可作为向上的进位信号与十位计数单元的CPA相连。秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6(0110)进制计数器,当十位计数器计到QD QC QB QA为0110时,同时对秒的个位和十位进行清0,另外QC可作为向上的进位信号与分个位的计数单元的CPA相连。其具体连接图如图9CPA相连,其具体连接图如图9。7

图9 六十进制计数器

4.2.2二十四进制计数器

同样可以选用两块74LS162采用异步清零的方法完成24进制计数 如图10。

图10二十四进制计数器

4.3译码显示电路

译码显示电路是将计数器输出的8421 BCD码译成数码管显示所需要的高低电平,我们采用阴极七段数码管,引脚如图11。

其则译码电路就应选接与它配套的共阴极七段数码驱动器。译码显示电路可采用CD4511BC-7段译码驱动器,其芯片引脚如图12。译码器A、B、C、D与十进制计数器的四个输出端相连接,a、b、c、d、e、f、g即为驱动七段数码显示器的信号。根据A、B、C、D所得的计数信号,数码管显示的相对应的字型。其具体电路图如图13。

图11 阴极七段数码管

图12 芯片CD4511BC-7段译码驱动器引脚

图13 译码显示电路

4.4正点报时电路的设计

要求当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。即当时间达到xx时59分50秒时蜂鸣器开始响第一次,并持续一秒钟,然后停鸣一秒,这样响五次。在59分50秒到59分59秒之间,只有秒的个位计数,分的十位QD QC QB QA输出0101,个位QD QC QB QA 输出1001,秒的十位QD QC QB QA 输出0101均不变,而秒的个位QA计数过程中输出在0和1之间转。所以可以利用与非门的相与功能,把分十位的QC、QA ,分个位的QD、QA,秒十位的QC、QA 和秒个位的QA相“与非”作为控制信号控制与非门的开断,从而控制蜂鸣器的响和停。如图14。

图14 整点报时电路

4.5校时电路的设计

时钟出现误差时,需校准。校对时间总是在标准时间到来之前进行,分四个步骤:首先把小时计数器置到所需的数字;然后再将分计数器置到所需数字;在此同时或之后,将秒计数器在零时停计数,处于等待启动;当选定的标准时刻到达的瞬间,按起动按钮,电路则从所预置时间开始计数。由此可知,校时电路应具有预置小时,预置分、等待启动、计时四个阶段,因此,我们设计的校时电路,方便、可靠地实现这四个阶段所要求的功能。

图15数字电子钟的计数校正电路

5设计心得

本次实验培养了我的团队合作精神,两人分工明确,我们一起处理实验过程中遇到的难题,在每连接好一个模块后,我们认真地检查电路,这样大大减少了实验出错的机率,为最后成功完成实验节省了不少的时间。

本次数字钟电路设计实验还做到理论联系实际,刚刚学过了数电这门课程,还没完全弄懂某些门电路的原理和用途,而此次课程设计恰恰提供了一个好机会,让我们从实践中加深了对所学知识的理解。参考文献

1.郝国法等主编 电子技术实验 北京:冶金工业出版社,2006 2.华中科技大学电子技术课程组编 康光华主编 电子技术基础 数字部分(第五版)北京 :高等教育出版社,2005 3.彭容修主编.数字电子技术基础.武汉:华中理工大学出版社,2000 4.李哲英主编.电子技术及其应用基础(数字部分).北京:高等教育出版社,2003 5.浙江大学电工电子基础教学中心电子学组编,郑家龙、王小海、章安元主编.集成电子技术基础教程.北京:高等教育出版社,2002

第二篇:数字电路课程设计——数字钟

四川工业科技学院 电子信息工程学院课程设计 专业名称:电子信息工程 课程名称:数字电路课程设计 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月10日

《模拟电子技术课程设计》任务书

一、课题名称:数字钟的设计

二、技术指标:

(1)掌握数字钟的设计、组装和调试方法。(2)熟练使用proteus仿真软件。(3)熟悉各元件的作用以及注意事项。

三、要求:

(1)设画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系。(2)设计各个功能模块的电路图,加上原理说明。(3)选择合适的元器件,设计、选择合适的输入信号和输出

方式,确保电路正确性。

指导教师:廖俊东 学生:蔡志荷

电子信息工程学院

2018年1月 10日

课程设计报告书评阅页

课题名称:数字钟的设计 班级:15级电子信息工程4班 姓名:蔡志荷

2018年1月 10日

指导教师评语:

考核成绩:指导教师签名: 年月

目录

摘要..................................................................................................................................................1 第1章设计任务与要求...................................................................................................................2

1.1 设计指标数字钟简介.....................................................................................................2 1.2 具体要求.........................................................................................................................2 1.3 设计要求.........................................................................................................................3 第2章元件清单及主要器件介绍...................................................................................................4

2.1 元件清单.........................................................................................................................4 2.2 主要器件介绍.................................................................................................................4

2.2.1 74LS90计数........................................................................................................4 2.2.2 74LS47.................................................................................................................5 2.2.3 七段数码显示器.................................................................................................7

第3章设计原理与电路...................................................................................................................8

3.1 计时电路.........................................................................................................................8

3.1.1 计秒、计分电路.................................................................................................8 3.1.2 计时电路.........................................................................................................10 3.2 校时电路.......................................................................................................................11 3.2.1 报时锁存信号...................................................................................................13 3.2.2 报时...................................................................................................................13 第4章仿真结果及误差分析.........................................................................................................15 4.1 实验结果.......................................................................................................................15 4.2 实时分析.......................................................................................................................15 第5章设计总结.............................................................................................................................16 参考文献.........................................................................................................................................17

四川工业科技学院数字电路课程设计

摘要

本次课程设计的主题是数字电子钟。干电路系统由秒信号发生器、“时、分、秒”计数器、显示器、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发蜂鸣器实现报时。

数字电子时钟优先编码电路、译码电路将输入的信号在显示器上输出;用控制电路和调节开关对LED显示的时间进行调节,以上两部分组成主体电路。通过译码电路将秒脉冲产生的信号在报警电路上实现整点报时功能等,构成扩展电路。本次设计由震荡器、秒计数器、分计数器、时计数器、BCD-七段显示译码/驱动器、LED七段显示数码管设计了数字时钟电路,可以实现:计时、显示,时、分校时,整点报时等功能。

关键词:数字时钟,振荡器,计数器,报时电路

四川工业科技学院数字电路课程设计

第1章 设计任务与要求

1.1 设计指标数字钟简介

数字钟电路是一款经典的数字逻辑电路,它可以是一个简单的秒钟,也可以只计分和时,还可以计秒、分、时,分别为12进制或24进制,外加校时和整点报时电路。

数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。

因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。

1.2 具体要求

1、掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;

3、提高电路布局,布线及检查和排除故障的能力。

四川工业科技学院数字电路课程设计

1.3设计要求

1、设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。

2、用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试

3、画出框图和逻辑电路图、写出设计、实验总结报告。

4、整点报时。在59分51秒时输出信号,音频持续10秒,在结束时刻为整点。

四川工业科技学院数字电路课程设计

第2章 元件清单及主要器件介绍

2.1 元件清单 1、74LS90(6个)2、74LS47(6个)3、74LS00(6个)4、74LS20(6个)5、74LS04(6个)

6、共阳七段数码显示器(6个)

7、蜂鸣器(1个)

8、快关若干,电阻若干

2.2 主要器件介绍

2.2.174LS90计数

本题目核心器件是计数器,常用的有同步十进制计数器74HC160以及异步二、五、十进制计数器74LS90.这里选用的是74LS90芯片。

74LS90的引脚图如图2-1表示。

图2-1 74LS90内部是由两部分电路组成的。一部分是由时钟CKA与一位触发器Q0组成的二进制计数器,可记一位二进制数;另外一部分是由时钟CKB与三个触发器Q1、Q2、Q3组成的五进制异步计数器,可记五个数000~111.如果把Q0和CKB连接起来,CKB从Q0取信号,外部时钟信号接到CKA上,那么由时钟CKA和Q0、Q1、Q2、Q3组成十进制计数器。

R0(1)和R0(2)是异步清零端,两个同时为高电平有效;R9(1)和R9(2)是置

四川工业科技学院数字电路课程设计

9端,两个同时为高电平时,Q3Q2Q1Q0=1001,;正常计数时,必须保证R0(1)和R0(2)中至少一个接低电平,R9(1)和R9(2)中至少一个接低电平。

74LS90的功能表如表2-1所示。

表2-1 2.2.274LS47 74LS47的引脚图如图2-3表示。

图2-3 译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS47是输出低电平有效的七段字形译码器,它在这里与数码管配合使用。

表2-2列出了74LS47的真值表,表示出了它与数码管之间的关系。

四川工业科技学院数字电路课程设计

表2-2 H=高电平,L=低电平,×=不定 74LS47译码器原理如图2-4.图2-4

74LS47是BCD-7段数码管译码器/驱动器,74LS47的功能用于将BCD码转化成数码块中的数字,通过它解码,可以直接把数字转换为数码管的显示数字,从而简化了程序,节约了 单片机的IO开销。因此是一个非常好的芯片!但是由于目前从节约成本的角度考虑,此类芯片已较少用,大部份情况下都是用动态扫描数码管的形式来实现数码管显示。

四川工业科技学院数字电路课程设计

2.2.3 七段数码显示器

共阳极七段数码管引脚图如图2-5表示。

图2-5 LED数码管中的发光二极管共有两种连接方法:

1、共阴极接法:把发光二极管的阴极连在一起构成公共阴极。使用时公共阴极接地,这样阳极端输入高电平的段发光二极管就导通点亮,而输入低电平的则不点亮。实验中使用的LED显示器为共阴极接法。

2、共阳极接法:把发光二极管的阳极连在一起构成公共阳极。使用时公共阳极接+5V。这样阴极端输入低电平的段发光二极管就导通点亮,而输入高电平的则不点亮,而输入高电平的则不点亮。

注:课设中使用的是共阳极数码管。

四川工业科技学院数字电路课程设计

第3章 设计原理与电路

3.1 计时电路

计时电路共分三部分:计秒、计分、计时。其中计秒和记分都是60进制,而计时为24进制。难点在于三者之间进位信号的实现。

3.1.1 计秒、计分电路

1、个位向十位的进位实现。

用两片74LS90异步计数器接成一个一步的60进制计数器。所谓异步60进制计数器,即两片74LS90的时钟不一致。各位时钟为1Hz方波来计秒,十位计数器的时钟信号需要从个位计数器来提供。

进位信号的要求是在十个秒脉冲中只产生一个下降沿,且与第十秒的下降沿对齐。只能从个位计数器的输出端来提供,不可能从其输入端来找。而计数器的输出端只有Q0、Q1、Q2、Q3四个信号,要么是其中一个,要么是它们之间的逻辑运算结果。

把个位的四个输出波形画出来,如图3-1所示。

图3-1 由于74LS90是在时钟的下降沿到来时计数,所以Q3正好符合要求,在10秒之内只给出一个下降沿,且与第19秒的下降沿对齐。Q2虽然也只产生一个下降沿,但产生的时刻不对。这样,个位和十位之间的进位信号就找到了,把个位的Q3(11端)连接到十位的CKA(14端)上。

四川工业科技学院数字电路课程设计

2、六十进制的实现

当几秒到59时,希望回00.此时个位正好计满十个数,不用清零即可自动从9回0;十位应接成六进制,即从0~5循环计数。用异步清零法,当6出现的瞬间,即Q3Q2Q1Q0=0110时,同时给R0(1)和R0(2)高电平,使这个状态变成0000,由于6出现时间很短,被0取代。接线如图3-2所示。

图3-2 当十位计数到6时,输出0110,其中正好有两个高电平,把这两个高电平Q2和Q1分别接到74LS90的R0(1)和R0(2)端,即可实现清零。一旦清零,Q2和Q1都为0,不能再继续清零,恢复正常计数,直到下次再同时为1。

计秒电路的仿真图如图3-2所示,计分电路和计秒电路是完全一致的,只是周期为1S的时钟信号改成了周期为60秒即1分钟的时钟信号。

3、秒向分的进位信号的实现

积分电路的关键问题是找到秒向分的进位信号。当秒电路计到59秒时,产生一个高电平,在计到60秒时变成低电平,来一个下降沿送给计分电路做时钟。计秒电路在计到59时的十位和个位的状态分别为0101和1001,把这四个1与起来即可,即十位的Q2和Q0,个位的Q3和Q0,与的结果作为进位信号。使用74LS20四入与非门串反相器构成与门,如图3-3所示。

四川工业科技学院数字电路课程设计

图3-3 计分电路与计秒电路一样,只是四输入与门产生的信号应标识为59分。

3.1.2 计时电路

用两片74LS90实现二十四进制计数器,首先把两片74LS90都接成十进制,并且两片之间连接成具有十的进位关系,即接成一百进制计数器,然后在计到24时,十位和个位同时清理。计到24时,十位的Q1=1,个位的Q2=1,应分别把这两个信号连接到双方芯片的R0(1)和R0(2)端。如个位的Q2接到两个74LS90的R0(1)清零端,十位的Q1接到两个74LS90的R0(2)清零端。

计时电路的个位时钟信号来自秒、分电路产生59分59秒两个信号相与的结果,如图3-4所示。

图3-4

四川工业科技学院数字电路课程设计

计分和计时电路可以先单独用秒脉冲调试,以节省时间。联调时,可把秒脉冲的频率加大。

图3-5是一个链接好的简单的没有校时和报时的数字时钟电路。

图3-5 图中为了把数显集中到一块,可以直接把时、分、秒的数码管拖动到一起。但为了仿真时使器件管件的逻辑状态显示不影响数显的效果,可以从主菜单中把逻辑显示去掉即可。

3.2 校时电路

接下来把校时电路加上,校时电路主要完成校分和校时。选择较分时,拨动一次开关,分自动加一;选择校时时,拨动一次开关,小时自动加一。校时校分应准确无误,能实现理想的时间校对。校时校分时应切断秒、分、时计数电路之间的进位连线。

如图3-6,红色线框内是校时电路,由去抖动电路和选择电路组成。

四川工业科技学院数字电路课程设计

图3-6 其中,计到59分的信号已有,如图3-6中所示。只需把它和计秒电路的十位中的Q2Q0相与作为开始报时的一个条件即可。见图3-7,U16:A和U10:D组成的与门输出即为报时开始信号。

图3-7

四川工业科技学院数字电路课程设计

3.2.1 报时锁存信号

用秒个位的计数器输出进行四高一低的报时锁存信号。现在来分析一下50~59秒之间秒个位的状态。

秒个位:Q3 Q2 Q1 Q0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1

结合题目要求,通过这些状态的观察发现,秒个位的Q3’和Q0逻辑与后,正好在秒个位计到1、3、5、7时产生高电平,0、2、4、6时产生低电平,可作低四声报时的锁存信号;秒个位的Q3和Q0逻辑与后,正好在秒个位为9时产生高电平,可做高音的报时锁存信号;这样就产生了两个报时锁存信号。

3.2.2 报时

把上述分析所得到的的报时开始信号分别和两个报时锁存信号相与,产生两路报时锁存信号,如图3-7,上面一路为高音报时锁存,下面一路为低音报时锁存。图中左面三个与非门实现的是与或逻辑,前面已介绍。

上下两路报时锁存信号分别与1kHz和500Hz的音频信号(20Hz~30kHz)相与或来驱动数字喇叭,实现整点报时功能。这里喇叭使用元件SOUNDER,它接收数字信号。

实验时,把59分50秒这个报时开始信号直接用高电平取代,这样比较省时。另外实际连接电路时,可用555定时器产生一个1kHz的方波,再经D触发器二

四川工业科技学院数字电路课程设计

分屏得到500Hz的方波信号。计时电路的1Hz方波也可由555定时器产生,但由于标准电阻和电容值的选择会带来一些积累误差,也可选用其他更精确的振荡电路来实现。

四川工业科技学院数字电路课程设计

第4章 仿真结果及误差分析

4.1 实验结果

成功设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,有校时功能的电子钟。能够实现整点报时。在59分51秒时输出信号,音频持续10秒,在结束时刻为整点。且能够正常仿真。

如图4-1是完整的数字钟电路图。

图4-1 4.2 实时分析

本次课程设计电路完全按照仿真图所连的,在测试时,当开始进行时校时时,没有出现问题,但当进行到分校时时,发现计数电路的秒电路开始乱跳出错。因此,电路一定是有地方出错了,在反复对照后,发现是因为在接入校正电路时忘了把秒十位和分个位之间的连线拿掉而造成的,因此,在接线时一定要注意把不要的多余的线拿掉。

仿真时用的脉冲是用的软件里的时钟脉冲,没有使用555定时器,可能会造成一定的误差。

四川工业科技学院数字电路课程设计

第5章 设计总结

通过这次数字电子钟的课程设计,我们把学到的东西与实践相结合,深化了我对数字电路设计和模拟电路的设计,让我在设计的实践中获得了更多的知识,同时锻炼了我的动手能力。在这过程中对我们学的知识了更进一步的理解,而且更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法,也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。

虽然这只是一次学期末的课程设计,但通过这次课程设计我们了解了课设计的一般步骤、方法和设计中应注意的一些问题。我觉得这次设计是很有重要意义的,它锻炼了同学们对待问题时的态度和处理事情的能力,了解了各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点,同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。

总之,这次课程设计让我学到了好多东西,这种课程设计对一个大学生是非常重要的。在此我要感谢我同组的搭档蔡西!然后,非常感谢廖老师的耐心指导!

四川工业科技学院数字电路课程设计

参考文献

【1】张存礼、韩爱娟主编.电子技术综合实训.北京师范大学出版社.2005.8。【2】朱清慧主编.Proteus教程.清华大学出版社.2011.6。【3】阎石主编.数字电子技术基础.高等教育出版社.2016.4。

第三篇:数字电路课程设计

一、设计报告书的要求: 1.封面

2.课程设计任务书(题目,设计要求,技术指标等)

3.前言(发展现状、课程设计的意义、设计课题的作用等方面)。3.目录

4.课题设计(⑴ 写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。

⑵ 画出框图中的各部分电路,对各部分电路的工作原理应作出说明。⑶ 画出整个设计电路的原理电路图,并简要地说明电路的工作原理。⑷ 用protel画原理电路图。

(5)用Multisim或者Proteus画仿真图。

5.总图。

6.课题小结(设计的心得和调试的结果)。7.参考文献。

二、评分依据:

①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原理说明是否基本正确,⑤报告是否清晰,⑥答辩过程中回答问题是否基本正确。

三、题目选择:(三人一组,自由组合)(设计要求,技术指标自己选择)

1、基于DC4011水箱水位自动控制器的设计与实现

水箱水位自动控制器,电路采用CD4011四与非门作为处理芯片。要求能够实现如下功能:水箱中的水位低于预定的水位时,自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水,始终保持水箱中有一定的水,既不会干,也不会溢,非常的实用而且方便。

2、基于CD4011声控、光控延时开关的设计与实现

要求电路以CD4011作为中心元件,结合外围电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态,当有人经过该开关附近时,脚步声、说话声、拍手声等都能开启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭,灯灭。

3、基于CD4011红外感应开关的设计与实现

在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。

4、基于CD4011红外线对射报警器的设计与实现

设计一款利用红外线进行布防的防盗报警系统,利用多谐振荡器作为红外线发射器的驱动电路,驱动红外发射管,向布防区内发射红外线,接收端利用专用的红外线接收器件对发射的红外线信号进行接收,经放大电路进行信号放大及整形,以CD4011作为逻辑处理器,控制报警电路及复位电路,电路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。

5、基于CD4069无线音乐门铃的设计与实现

音乐门铃已为人们所熟知,在一些住宅楼中都装有音乐门铃,当有客人来访时,只要按下门铃按钮,就会发出“叮咚”的声音或是播放一首乐曲,然而在一些已装修好的室内,若是装上有线门铃,由于必须布线,从而破坏装修,让人感到非常麻烦。采用CD4069设计一款无线音乐门铃,发射按键与接收机间采用了无线方式传输信息。

6、基于时基电路555“叮咚”门铃的设计与实现

用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够发出音色比较动听的“叮咚”声。

7、基于CD4511数显八路抢答器的设计与实现

CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编码,优先,锁存,数显和复位。

8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通过调节电位器旋钮,可调整彩灯的流动速度。

9、水位指示的设计与实现

电路的功能是检测容器内的水位。把探头分别装在容器的底部、中部和顶部。通过3根导线与电路板连接,而3个LED分别代表不同的水位。

10、基于数字电路双向炫彩流水灯的设计与实现

电路由无稳态多谢振荡器、可逆计数器、三八线译码器和发光二极管组成;实现流水灯正反向循环旋转。

11、基于数字电路六位数字钟的设计与实现

设计一款纯数字电路打造的6位数字时钟。数字钟是采用数字电路对“时”、“分”、“秒”数字显示的计时装置。

12、八路声光报警器的设计与实现

八路声光报警器中八位优先编码器CD4532将输入D0~D7的八路开关量译成三位BCD码,经BCD锁存/七段译码/驱动器CD4511译码,驱动共阴极数码管显示警报电路0—7,路输入开关中的任一路开路,显示器即显示该路号,发出数码光报警;同时优先编码器CD4532的GS段输出高电平,使开关三极管饱和导通,启动声报警电路工作。声报警电路由时基集成电路NE555和六反相器CD4069组成。

13、基于CD4060梦幻灯的设计与实现(基于proteus仿真)

设计一款电路,使其具有多种美丽的声光效果,三种颜色的LED随机组合,五彩斑斓,配有生日快乐音乐芯片,闪光的同时有生日快乐音乐播放,蜂鸣器发生,音乐芯片直接可以装到电路板上,备有电源开关,方便控制,可以外接交流电源或电池。可作生日礼物相送。

14、变音警笛电路的设计与实现 设计一款电路,该电路采用两片NE555时基集成电路构成的变音警笛电路,能发出“呜-哇-呜-哇”的警笛声。

15、魔幻LED摇摇棒的设计与制作

“摇摇棒”是一种利用我们的“视觉暂留效应”工作的高科技电子玩具。接通电源后,它上面的一列LED(发光二极管)不停地闪烁,当你摇动它时,会看见空中梦幻般的浮现一个个笑脸、爱心等图案或者文字。“摇摇棒”使用了一块单片机。通过编写程序,在它内部存储若干幅图形和文字,用一只轻触按钮来选择要显示的内容,并且使用一只动作传感器开关来确保显示正常。

16、基于数字电路NE555、CD4017LED骰子的设计与实现

由555组成的多谐振荡器和CD4017十进制计数器/脉冲分配器构成。7个发光二极管模拟骰子的点数,当按下按钮1秒以上,骰子上的发光二极管高速循环点亮,之后循环速度越来越慢并最终随机停止于某个点上。

17、数显计数器的设计与实现

不需要编程的计数器模块,有3个数码管显示,使用14553和14511芯片进行控制驱动。

18、基于555简易催眠器的设计与制作

时基电路555构成一个极低频振荡器,输出一个个短的脉冲,使扬声器发出类似雨滴的声音

19、基于数字电路电动机转速表的设计与实现

在电动机转动时,人眼无法统计电动机单位时间转转的圈数,即使电动机每秒钟只转动几圈,我们也无法准确的数数来得到电动机每分钟的转动圈数。设计一款电动机转速表来计数,最大可以显示999,如果需要显示更大的数字,还可以自行增加CD40110和数码管,每增加一级,计数可增大10倍再加上9。

20、基于CD4011路灯开关模拟电路的设计与实现

从节约用电的角度出发,路灯开关在每天傍晚时全部灯亮,后半夜行人稀少,路灯关掉一半,第二天清早路灯全部关闭。

21、数字秒表的设计与实现

单稳态触发器,时针发生器及计时器,译码显示单元电路的应用

22、基于CD4011声光控带灯头开关的设计与实现

开关选用CD4011集成块为延时电路,选用1A单向可控硅以及性能稳定的光敏电阻和优质的驻极体组成的声光控动作电路

23、基于数字电路两位计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.24、数字频率计的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

25、基于数字电路自动温控报警电路的设计与实现

现实生活中,常常需要进行温度控制。当温度超出某一规定的上限值时,需要立即切断电源并报警。待恢复正常后设备继续运行。设计一款温度控制电路,电路采用LM324作比较器,NE555作振荡器,十进制计数/译码器CD4017以及锁存/译码/驱动电路CD4511作译码显示达到上述要求。

26、基于数字电路两位自动计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.数字上升速度快慢由NE555振荡频率决定.S1为计数清零按键.NE555构成时钟信号发生器,CD4518为二/十进制加法计数器,CD4511为译码驱动器,调节R17可调节NE555的振荡频率.C1为充放电电容,电容容量愈大,充电时间愈长,则振荡频率愈低。

27、基于数字电路数字显示频率计电路的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

28、基于CD4017流水灯的设计与实现

CD4017流水灯由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

29、基于CD4017六路回闪灯的设计与实现 电路通电后,六个发光管先依次点亮,再全部熄灭,然后反方向依次点亮,完成一个循环,接着进行下一个循环。电路由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

30、基于CD4017摩托车闪灯的设计与实现

电路由多谐振荡电路和CD4017构成,实现三组发光管循环显示。

31、基于CD401712路回闪灯的设计与实现

电路由555组成的多谐振荡器和CD4017十进制计数/译码电路构成。

32、基于CD4518/4511数字钟的设计与实现

第四篇:数字钟课程设计

晶体振荡器电路

晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的脉冲,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。分频器电路

分频器电路将32768Hz的高频方波信号经74LS4060和74LS250的二分频的分频后得到1Hz的方波信号,可以供秒计数器进行计数。分频器实际上也就是计数器。时间计数器电路

时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,时个位和时十位计数器可以设计为12进制计数器或者24进制计数器,我们这里根据自己的意愿设计成24进制计数器。译码驱动电路

译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。数码管

数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计采用的为LED数码管。

各单元模块设计和分析 晶体振荡器电路

晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。

图2 晶体振荡器电路图

分频器电路

通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频。

通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。例如,将32767Hz的振荡信号分频为1HZ的分频倍数为32767(2于15极2进制计数器。时间计数单元

时间计数单元有时计数、分计数和秒计数等几个部分。

时计数单元一般为24进制计数器计数器,其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码。

本实验采取了74LS90 用两块芯片进行级联来产生60进制和24进制

秒个位计数单元为10进制计数器,无需进制转换,只需将Q0与CP1(下降沿有效)相连即可。CP0(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CP1相连。

秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6进制计数器的15),即实现该分频功能的计数器相当电路连接,其中Q2可作为向上的进位信号与分个位的计数单元的CP0相连。

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,也是分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CP0相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CP0相连。60进制的连接如图4所示。时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为24进制计数器,所以在两块74LS90构成的100进制中截取24,就得在24的时候进行异步清零。24进制计数功能的电路如图5所示。

图5 24进制计数器电路

主要参考文献

《电子技术基础》

康华光

高教出版社 《电子线路设计、实验与测试》

谢自美

华中科技大学出版社 《电子技术实验》

汪学典

华中科技大学出版社 课程设计摘要 中文摘要

此次课程设计以数字钟为例,全面的利用了所学的知识,设计出了生活中常见的东西。数字钟主要有多谐振荡器、分频器、计数器、译码器组成。主要芯片有74LS90、CC4511。有多谐振荡器产生约1Mz信号脉冲。满24计数器自动复位,从而实现24 小时计时。

关键词:多谐振荡器、分频器、计数器、74LS90 英文摘要 This design report in detail the digital clock.Making using of our comment study.The digital clock is made of multivibrator type oscillator、divider、counter.Following chips 74LS90 CC4511.When the hour counter reaches the summit of 24,it will return to the beginning point.So ,the whole day is counted.Key word: multivibrator、divider、74LS90

第五篇:数字钟课程设计

数字电子钟逻辑电路设计

一、实验目的:

1、掌握数字钟的设计方法;

2、熟悉集成电路的使用方法。

二、设计任务和要求:

1、设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟;

2、用中小规模集成电路组成电子钟;

3、画出框图和逻辑电路图,写出设计报告;

4、选做:①闹钟系统。②整点报时。③日历系统。

三、方案选择和论证:

1.分秒功能的实现:用两片74290组成60进制递增计数器 2.时功能的实现:用两片74290组成24进制递增计数器 3.定点报时:当分秒同时出现为0时,灯亮。

4.日历系统:月跟日分别用2片74192实现,月份就接成12进制,日则接成31进制,星期由1片74192组成7进制,从星期一至星期天。

四、方案的设计:

1、可调时钟模块:

秒、分、时分别为60、60和24进制计数器。用两片74LS290做一个二十四进制, 输入计数脉冲CP加在CLKA’端,把QA与与CPLB’从外部连接起来,电路将对CP按照8421BCD码进行异步加法计数。通过反馈端,控制清零端清零,其中个位接成二进制形式,十位接成四进制形式。其电路图如下:

同理利用两片74290组成的六十进制计数器,如下图所示

将两个六十进制的加法计数器和一个二十四进制的加法计数器进行级联:将秒的十位进位脉冲接到分的个位输入脉冲,将分的十位进位脉冲接到时的个位输入脉冲,这样就可以组成最基本的电路。2.校时电路: 例如说时的校准,开关1上端接1HZ脉冲,下端接分的进位。当开关打到上端时电路进入校准功能,当开关打到下端时电路进入正常计时功能。其电路如总电路图所示

3.整点报时:

分别用2个或非门接到分和秒的各输出个节点处,再用一个与非门与报时灯链接,当输出同时为零时,即整点时,报时灯就亮了,起到报时功能。本实验使用LED发光(1s),其电路图如下:

4日历系统:

月和日都用2片74192实现。月份功能则接成13进制,因为月份分日都是从1开始计起,所以要求从0001开始,到1101时,立刻清零,清零时应该切换到置数状态,即将ABCD置1000,通过一个与非门链接到LOAD端置零,同时也将计数器置为0001的状态。其电路图如下所示

日功能74192三十一进制电路图:

总电路图:

四、电路调试:

调试这部分工作在EWB仿真软件上进行。对于电路的调试应该分为几个部分,分别对电路各个部分的功能都进行调试,之后,每连接一部分都要调试一次。在实现日历系统时,如月份需要显示灯显示1~31。一开始以为只把计数器链接成三十一进制即可,结果显示灯只显示0~30,没有自己预期的结果。经过仔细思考,要把0去掉不显示,从1开始显示,而还要显示31。经过查书,最后,知道开始需置数成0001状态,到1000才清零,清零的同时回到置数0001状态,通过多次链接、测试,终于实现了。

在实现校时功能过程中,由于之前想得太过复杂了,浪费了大量时间,最后,经过上网搜索,到图书馆查书,简单的用了个开关连接到脉冲实现了。

五、收获心得体会:

整个过程花了我不少时间,可当做完时才发现做这个数字钟是多么简单的一件事,主要是在调试时花了不少时间,其间换了不少器件,有的器件在理论上可行,但在实际运行中就无法看到效果,所以调试花了我不少时间,有时无法找出错误便更换器件重新接线以使电路正常运行。

在实际的操作过程中,能把理论中所学的知识灵活地运用起来,并在调试中会遇到各种各样的问题,电路的调试提高了我们解决问题的能力,学会了在设计中独立解决问题,也包括怎样去查找问题。似乎所有的事都得自己新手去操作才会在脑海中留下深刻的印象,这个小小的课程设计让我可以熟练的操作EWB软件,也了解了不少器件的功能的应用,也加深了对数字电路认识和理解。

本次课程设计主要是用软件仿真,如果是实际加工电路板就更加锻炼我们的动手能力了,因此,能力还有待提高。

下载数字电路课程设计 数字钟word格式文档
下载数字电路课程设计 数字钟.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    数字钟课程设计

    南 昌 大 学 数字电路与逻辑设计实验报告 姓名: 付容 学号:6100212236 学院:信息工程学院 班级:电气信息I类126班 实验名称: 数字钟设计 一、实验目的 1、熟悉数字系统的分析和......

    数字钟课程设计

    ORG 0000H SJMP ONE ORG 0003H LJMP ELEVEN ORG 000BH LJMP NINE ORG 001BH LJMP TEN ONE:LED12 EQU 30H ;数码管12显示缓存 LED34 EQU 31H ;数码管34显示缓存 LED56 EQU 32......

    数字电路课程设计(5篇)

    数字电路课程设计要求: 1. 结合所学知识设计一简单实用电路(建议选多功能数字钟),并在实验室里完成实物电路的连接调试。 2. 每人独立完成一篇课程论文,论文至少2000字,可手写,也可......

    数字电路课程设计题目

    数字电路课程设计题目 题目1 数字式频率计 任务: 设计一个数字式频率计。 基本要求: 1.被测信号为TTL脉冲信号。 2.显示的频率范围为0—99Hz。 3.测量精度为±1Hz。 4.用LED数码管......

    数字电路课程设计(推荐五篇)

    分类号 UDC 单位代码10644 密 级 公 开 学 号课程设计 (题目) 课程名称:数字电子技术基础 作者:指导教师:易鸿系别:物理与工程技术系 专业:电子科学与技术 提交论文日期:年 月日论文......

    数字电路课程设计(红绿灯)

    数字电路课程设计(一) ——红绿灯设计方案总结报告 指导教师: 设计人员:班级:电信081 日期:2010.4.13 一、 设计任务书 1、题目:红绿灯控制器 2、设计要求:设计一个红绿灯控制器......

    数字电路课程设计教学大纲

    数字电路课程设计 一、 目的与任务 数字电路课程设计是数字电子技术课程重要的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一个或两......

    数字电路课程设计总结报告

    课程设计总结报告 总结报告应包括以下几点: 1、课题名称 2、内容摘要 3、设计内容及要求 4、比较和选写设计系统方案,画出系统框图 5、单元电路设计,参数计算和器件选择 6、画出完整......