基于EDA技术的《电子技术基础》教学实践与应用

时间:2019-05-15 07:06:55下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《基于EDA技术的《电子技术基础》教学实践与应用》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《基于EDA技术的《电子技术基础》教学实践与应用》。

第一篇:基于EDA技术的《电子技术基础》教学实践与应用

基于EDA技术的《电子技术基础》教学实践与应用

江苏省溧阳市职业技术学校

王怡华

[内容摘要]随着我国国民经济结构正进行战略性调整,高新技术产业发展日新月异,生产、经营、服务、管理一线的新知识、新技术、新工艺、新方法层出不穷,作为培养一线技术人员和高素质劳动者的职业教育,能否把这些“四新”内容及时传授给受教育者,关系到职业教育的结合发展。第三次全国教育工作会议以来,国家、省、市、县分别启动了骨干教师的培训,师资培养培训工作得到了前所未有的重视。笔者07年参加了浙江师范大学组织的全国中等职业教育骨干教师培训班并进行了为期两个月的学习,进一步加深了专业思想的认识,同时对本专业新技术有了进一步的认识,经过又近一年的学习,对EDA技术在电子技术课程教学中的实践有一定认识。

[关键字]电子技术 EDA技术 虚拟实验 探究式教学

一、问题的提出

电子技术是一门实践性很强的课程,现今职业学校机电、电子、通信、计算机以及相关专业均开设了该课程,同时配合理论教学还开设了实验课用以提高教学效果。电子技术实验,大部分学校采用各类实验箱(或面包版),实验过程学生要完成电路搭建、结果验证,对于一些小型电路,各类实验箱还能应付得了,但稍稍复杂一些的电路就难以对付了,往往由于芯片短缺、电路连接过于复杂、故障难以查找,加上实验箱长期使用导致接触不良等等,实际实验过程中,电路搭建成功率低,导致学生对实验的兴趣下降,影响实际教学效果。现在随着个人计算机提高和互联网的发展,功能强大的电子仿真软件EDA技术逐渐与广大专业教师见面,我们将它逐步地应用到教学之中,出现了全新的教学模式,在电子技术教学中应用EDA技术将是一个必然的趋势。

二、EDA技术基本功能介绍 1.EDA基本功能

EDA(Electronic Design Automation)是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术新成果而研制成功的电子CAD通用软件包。主要能辅助进行三方面的设计工作,既IC设计、电子电路设计和PCB设计。EDA技术经过了三个阶段的发展。从70年代的(CAD)阶段和80年代的(CAE)阶段,到90年代的电子系统设计自动化(EDA)阶段。EDA技术代表了当今电子设计技术的最新发展方向。它不仅为电子技术设计人员提供了“自顶向下”的设计理念,同时也为教学提供了一个极为便捷的、科学的实验教学平台。电工电子类专业课程中的电工基础、模拟电子技术、数字电子技术都可以通过EDA仿真软件,进行电路图的绘制、设计、仿真试验和分析。应该说将EDA仿真软件应用到电工、电子类专业的教学中是一种教学手段的创新,也是提高教学质量的优选方法。

2.EDA技术在教学中应用的主要优越性(以Multisim为例):(1).能弥补设备种类和数量不足,充分扩展学生的思维空间,给他们更大的自由发挥的天地。使学生可以根据不同需要无限制地进行各种电路分析实验,验证实验,常规实验,设计实验。充分调动学生学习的主观能动性,培养创新能力

(2).可以大大节省人力、物力、时间,提高实验效率。以数字钟实验为例。在传统实验中,如果要把数字钟电路全部接,要用到集成电路24块,电阻、电容、三极管等近20个元件,在数字实验箱的面包板上插接几百根导线,耗时一天有余,如果出现插孔松动,接触不良等毛病,效果还不大理想。而用Multisim进行仿真,只要有台计算机就等于有了取之不尽,用之不竭的元器件,而且无须担心仪器与元器件的损坏。同样的实验几十分钟就可以完成。

(3).用Multisim进行仿真模拟实验,实验过程非常接近实际操作的效果,实验的真实感强。系统提供了近似真实的子元器件、工作环境和仿真仪器,使学生感到仿佛在真实的环境下做各种实验;各元器件选择范围广,参数修改方便,不象实际操作那样多次地把元件焊下而损坏器件和印刷电路板。使电路调试变得快捷方便。对《模拟电子技术》以及《数字电子技术》课程中的绝大部分电路都能应用,不仅能用于对单个电路特性和原理进行验证,也能就用于多级的组合电路。

(4).Multisim为我们提供了一个很好的多媒体操作平台,使我们能够在教学过程中随时提供实验、演示和电路分析。直观的形象显示有助于培养学生的观察能力和分析问题的能力,有助于教学重和难点的讲解,可激发学生的学习兴趣。教师可以在多媒体教室中深入浅出地分析各种电路的特性,讲解各种参数改变对路的影响。学生可以结合学习内容,进行接近于实际电路的调试分析,有利于对加深对书本理论的理解,不失为一种理论系实际的好方法。

三、EDA技术在电子技术教学中的应用

电子技术课程是电子类专业的支柱性课程,它要求学生熟悉各种电子器件,掌握电路图的识读、绘制以及电路工作原理,还要学会掌握和合理运用分析方法。EDA软件正是提供了各种支持,恰到好处地符合这样的教学要求。同样,电子线路课程又是一个紧密联系实践的课程,EDA软件的强大的仿真功能更是能把实践带入课堂,带入教学的每一个环节中去。基于Multisim的电子技术课堂教学,是在虚拟的电子环境(在计算机上的电子实验室)中,师生借助计算机自然地、高效地与电子元器件、电子仪器、分析工具等进行实时交互,相互影响,为开展探究式教学提供了必要的支持。

1.应用于课堂教学环节,开展探究式教学,是师生高效交流的平台。探究式教学能充分调动学生的积极性,挖掘学生的学习潜力,使学生变被动的接受为主动的探求,也充分体现了教师主导和学生主体,这是一种科学的、民主的教学方法。以前由于课堂上师生之间没有实时交互的平台,教师是单向地教,学生是被动地学,而Multisim的出现,恰到好处地为师生搭建了一个良好地交互平台。因为,首先,在Multisim创建的电子技术课堂教学情境中,电子元器件、仪器仪表、仿真分析方法同等地提供给教师和学生,使学生产生亲临电子电路实际环境之中的感觉,学生是从虚拟环境的内部向外观察,不再是旁观者,而变成了电路知识的探究者;其次,Multisim对电路参数的设置、电子分析和仿真、数据图形的处理以及结果的输出都只需简单的操作即可完成,这样就使师生的交互能在轻击几下鼠标之下完成。因而在课堂上师生间能通过简捷、轻松的方式完成充分的交流,学生的疑问、新奇的想法等都可以及时验证和尝试。真正为师生搭建了一个开放性的“所想即所得”的高效交流平台。在这个平台上,才能使探究式教学发现它的作用。

探究式教学一般遵循“问题情境——搭建模型——解决问题”三个步骤。创设问题情境是引发学生探究心理学习新知识的切入点。例如在单管共射放大电路的教学中,第一步,教师先创设问题情境,要把幅值为10mV ,频率为1KHz的正弦信号放大50倍,负载电阻是2KΩ。第二步,学生调用已有知识(三极管放大特性、三极管放大状态的外部条件、三极管输入、输出特性),在Multisim环境中,调用虚拟元器件和虚拟和仪器仪表,搭建电路模型,最后在教师的提问、启发、引导下,对电路不断地分析、测试、调整,完成放大电路,即解决了问题。这样,在大量的动脑、动手的实践中,学生学到了新知识(共射放大电路的基本结构、静态工作点、截止失真、饱和失真、频响特性等)。总之,EDA技术为探究式教学提供了优质的平台,也必将为学生的学习提供优质的保证。

2.应用于实验教学环节,开展虚拟实验,是实际硬件实验的有力补充和拓展。实验教学是电子线路教学的重要环节,通过实验能够巩固电子线路基础知识,培养学生的实践技能、动手能力和分析问题及解决问题的能力,启发学生的创新意识和创新思维潜力。Multisim这款优秀的EDA软件提供了上千种电子元器件和十数种仪器仪表,完全能够虚拟各种电子实验,区别于应用实体的实际硬件实验,它叫虚拟实验。

虚拟实验在实验教学中的应用主要表现在以下三个方面:

(1).学校缺少某项实验的实验设备时,虚拟实验可以代替硬件实验。有些学校由于缺少实验设备,部分硬件实验无法展开,就完全可以用虚拟实验代替。虚拟实验的一大特点就是不受实验设备、场地的条件限制,只要有计算机,有EDA软件(如Multisim),几乎所有的实验都难不住它。(它的元器件库无所不包,而且具有网上更新的功能,它的虚拟仪器也是应有尽有,而且直观性强)。

(2).同一实验课题,在开展硬件实验的同时,可以辅以虚拟实验。硬件实验和虚拟实验在教学效果上各有所长,硬件实验的主导地位是勿庸置疑的,它使学生直接面对真实对象,进行真实操作,获得直接经验,这是虚拟实验所无法作到的。而虚拟实验有更为优秀的分析技术,例如在很多仪器仪表中引入指针,使实验数据更易获得,实验现象更为明显,EDA软件中又具有经典的仿真分析方法,能轻而易举地实现瞬时现象的捕捉,也能把很长时间的现象展现于一秒,这也是实际仪器不能敌的。所以,同一个课题,开展实际硬件实验可使学生锻炼动手能力,获得直接经验。开展虚拟实验,有助于对实验现象的观察和实验数据的获得,最终有利于分析和实验结论的获得。

(3).开展具有创造性的开放式实验

以前在作实验前,教师处于对实验器材和学生安全的保护必先嘱咐学生不准动这,不准动那,使学生做起实验来束手束脚,学生的想象力和创造力得不到发挥。其实很多时候,学生对实验内容是有自己的独到的想法的。如果能够利用虚拟实验技术,拿出有意义的课题,进行开放式的实验,学生在实验中,可以发挥自己的创造力,对电路进行别出心裁的修改,对电路的分析和测试做不同的尝试,使学生完全成为实验电路的剖析者和探索者,又不必担心会损坏任何器件或仪器。这样既拓展了实验范围,又培养了学生的创新意识。

长久以来,电类实验课普遍采用传统的硬件验证的实验模式,随着EDA技术的发展,系统仿真技术日越完善,它将越来越多地应用于实验课程的教学,实验课程也必然采取硬件实验和软件仿真相结合的方式。

四、结束语

EDA技术是将计算机技术应用于电子电路设计过程的一门崭新技术,给电子产品设计与开发带来了革命性的变化。它在教学领域的应用也必将给电子专业课程的教学带来革命性的变化。无论从教学还是从实用的角度去考虑,它都是一个最体现以人为本、体现能力本位的新型的教学技术。无论从课堂教学还是从实验教学去应用,它都将更好地激发学生的创新意识和探索精神。当然,EDA技术的应用对专业教师的综合素质有较高的要求,既要较全面熟悉电子技术专业的知识,又要懂得使用计算机,并且不断地吸取先进的技术,灵活地运用在教学过程中,教师应懂得电子技术中某一个问题的多种表达方式,这样搭建电路容易与软件的建模方式匹配;教师还应对专业英语熟练,看懂软件的功能意图,而这正是职业学校教师“四新”目的之所在。

[参考文献]

1、《现代电子设计技术——基于MULTISUM7&ULTIBOARD2001》李良荣主编

机械工业出版社

2、《电子技术基础》

康华光主编

高等教育出版社

3、《电工电子技术EDA仿真实验》王廷才主编

机械工业出版社 作者简介:

王怡华

女(1972年10月~)江苏溧阳人 中级职称 主要研究方向职业教育

第二篇:EDA技术在数字电子技术实验中的应用

EDA技术在数字电子技术实验中的应用

摘要:在电子信息类的专业当中,数字电子技术的上实验教学是非常重要的。随着社会的发展和电子信息技术的逐渐进步,传统的实验教学方法已经不再适用了,我们需要引进更加新进的技术来对其进行改革。EDA技术的引进可以说是数字电子技术实验的一个进步,也可以说是教学改革的一个趋势。本文将对EDA技术在数字电子技术实验中的应用进行简单的分析和研究,并对EDA技术进行一些介绍,从而说明该技术的重要性。

关键词:EDA技术;数字电子技术教学;实验应用

数字电子技术在近些年得到了快速的发展,而该技术已经应用在了我们的日常生活中。在电子信息的学习过程中,数字电子技术实验是不可或缺的一部分,它的理论性和实践性都非常强,因此进行该试验要具备足够的专业知识以及一定的动手操作能力。随着计算机和电子技术的迅速发展,我们对于该方面的教学理念和模式也需要与时俱进,较为落后和过于传统的教学模式会严重影响到我们对于相关人才的培养,所以我们需要将EDA技术应用到数字电子实验当中。

一、EDA技术的概述

EDA又称为电子设计自动化。该技术的发展时间虽然只有短短的三十几年,但是它涉及的范围是非常广泛的。EDA技术是将具有较大规模的能够编程的控制器作为载体,将硬件的语言描述作为一种表达,运用计算机、相关的软件和编程器来进行电子和硬件系统设计的技术。它所拥有的功能是十分强大的,能够进行逻辑的布线规划、设计、简化、分割、优化、分析等工作。EDA技术是伴随着计算和电子信息等技术的发展而产生的,在后两者迅速发展而变得愈发复杂时,EAD技术的使用在极大程度上为电子电路设计提供了帮助,它在设计的每一个阶段都发挥着十分重要的作用,可以说该技术在电子信息技术的发展上起到了很大的推动作用。

二、基于EDA技术的数字电子技术实验构架

现阶段,我国很多相关的公司已经成功的建立起虚拟实验台,并且可以使用它做完整的数字电子技术实验。EDA技术的应用将数字电子技术的实验内容变得更加丰富,这样就可以做一些难度较高或者是实际操作难度大的电子实验。

(1)虚拟的数字电子技术实验构架。在搭建数字电子技术实验平台的过程当中,会使用到多种EDA的开发工具。而建立实验平台由主要分成了两个部分:第一部分就是在实验中加入了仿真模块,此功能是将EDA技术作为基础来提供学习的一个平台;第二部分是指实验在虚拟环境中进行,这样可以有效对信息进行功能以及管理上的评估。这两个部分之间存在着一定的联系,数据信息要做到互通和交换,这样才能建立起一个完整的EDA数字电子技术实验平台。

(2)各模块框架。仿真功能是该实验平台的一个非常重要的功能,而它又被分成了四个部分,分别是收集项目的信息数据、基础教育、进行虚拟实验、结果的分析。在进行实验的过程中需要在虚拟实验台中了解一些具体的要求,然后做好充足的准备并选择所能应用到的EDA开发工具,从而将获取的实验任务完成。在结束后要将实验数据、图表、仿真曲线、程序代码进行汇总,上传到服务器,以便日后查阅。

在进行基础学习时要把握好四个要素:①熟悉掌握软件编程语言;②了解并熟练使用EDA工具;③认真了解并熟记实验仪器的操作方法;④具有足够的专业知识。在实验中我们经常会使用到的EDA工具包括QuartusⅡ、Protel和Matlab等。而软件编程语言工具会经常使用汇编语言和VHDL语言。实验器具以常用数字芯片为主。除此之外,在网上可以通过相应的渠道可以找到实验案例并将其下载出来,案例中会对实验的设计思路和技术要点等方面进行详细的说明,会更有利于学习者的学习。

同样,信息功能和管理评估模块也是由四个部分所组成的:①提供实验信息;②审批阶段;③对实验进度进行实时掌控;④对信息数据的管理。负责该模块的管理者需要把实验内容在平台进行公布,让实验者了解实验内容。在实验完成后还要把一些相关数据和结果存储到数据库中。

三、在数字电子技术实验中引入EDA技术的优势

(1)提高实验可靠性。EDA技术在实验中的应用可以达到一个扬长避短的效果,对于实验的效率和结果的准确度上有很大程度上的提高,而且可以直观的显示出某些电路设计中存在的问题和错误。在实际中,因为每一个门电路中会存在延时现象,由此就会产生冒险竞争,这就会导致正常信号进入到不正常的尖峰脉冲当中,但是由于采样精度较低的缘故,该现象是很难被观察到的。而EDA技术的应用就可以将该现象显示出,然后再确定出解决问题的办法。

(2)加强实验者的动手操作能力。数字电子技术实验平台所需要的开发周期是比较短的,并且具有调试简单和容易更改电路的特点,这些都可以让实验人员很容易的了解设计要领。这样既可以调动人们的实验兴趣,还可以更容易的将理论知识运用到实践当中。

(3)实验开放性较好。EDA的仿真技术可以摆脱器材上的限制,很多工作都可以在计算机上进行,而实验的设计工作同样可以不在实验室中进行,在完成之后将其进行保存即可。而测试芯片具有实际的运行特性,可以将实验的灵活度进行提高。

(4)提高实验效率。数字电子技术实验的过程是十分复杂的,需要设计和产生的数据量是很大的,如果在中途出现错误就可能导致实验失败或者是将过程变得更加繁琐。EDA技术的应用将实验的操作过程进行了简化,更容易进行调整,在相同的时间内还可以进行多个实验方案从而进行比较,实验的效率也得到了很大的提高。

(5)弥补客观条件的短缺。进行数字电子技术实验需要一套完整的相关设备,但是这些设备装置的价格是十分昂贵的,由于经费不足的问题会导致实验无法进行。EDA的仿真技术可以让实验在计算机中进行,从而避免因客观条件限制而无法进行试验的情况,并且进行仿真实验还可以不用担心损坏仪器所产生的损失。

EDA技术的加入对于数字电子技术实验来说是非常重要的,它既降低了实验进行的难度,又提高了知识理论在实践中的应用,也可以说它为数字电子技术的发展起到了推动的作用。本文对EDA技术在数字电子技术实验中的应用进行简单的分析和研究,并介绍了该技术所拥有的一些优势,希望它能在数字电子技术实验中得到推广。

参考文献:

[1]蔡朝.利用EDA技术改造数字电路实验教学[J].孝感学院学报,2002(06).[2]艾明晶,康光宇.EDA教学实验平台的设计与实现[J].仪表技术与传感器,2002(10).[3]李清峰.对EDA课程教学的思考[J].湖南商学院学报,2001(06).[4]聂春燕、吴晓旭、张玲霞.加强实验室改革和建设培养学生实践能力[j].长春大学学报,2007,17(4):92-93.

第三篇:EDA技术应用读后感

EDA技术应用读后感

大三的第一学期我们学一门关于EDA技术的课程,虽然对于这个名称不算陌生,之前也听过,但是它有什么功能却什么也不知道。今天在老师的引导下我们读了一些关于这方面的文章,初步的对EDA有了一定的认识。DA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术已经成为当今世界电子技术发展的重要领域之一。

电子设计的必由之路是数字化,电子系统的发展到现在已经很多年了,经过多年的发展,现在的发展正是最迅速最完美的时期。EDA的发展涉及多方面,例如,教学方面,在科学研究和新产品开发方面,产品的设计与制作方面。随州EDA技术的发展,世界各国都积极的行动了起来,我国也积极响应世界的发展趋势大力提倡技术的发展。EDA技术越来越广泛的应用,电子产品的日新月异,这项技术已经成为电子设计的何核心,我们作为新一代的大学生更应该深刻认识这一点,努力学习知识,做一名有价值的中国人。

《EDA技术的应用与发展》 作者:张晓霞来源:《中国新技术新产品》 2012-5-25期刊

《EDA技术的发展与应用现状》 作者:张杨林来源:《当代农机》 2007-4-25期刊 《EDA技术的发展》作者:江冰来源:《河海大学常州分校学报》 2004-6-25期刊

建议:希望老师可以上课声音大一点,尽量有耐心的讲的细一点。课堂可以多做一些演示方

便我们理解。

第四篇:EDA技术基础实验教案

实验一 2选1多路选择器VHDL设计

一、实验目的:

熟悉利用MAX+plusⅡ的VHDL文本设计流程全过程,学习简单组合逻辑电路的设计、多层次电路的设计、仿真和硬件测试。

二、实验内容:

按照MAX+plusⅡ的文本输入设计方法与流程完成2选1多路选择器的输入(mux21a.vhd)和仿真测试等步骤,给出仿真波形图。最后在实验系统上进行硬件测试,实际验证本项设计的功能。

三、实验步骤:

1、根据2选1多路选择器的工作原理,编写2选1的VHDL源程序,并输入计算机,mux21a.vhd文件名将源程序存盘。2选1多路选择器的参考程序如下:

【例1-1】

ENTITY mux21a IS PORT(a, b : IN BIT;s : IN BIT;y : OUT BIT);END ENTITY mux21a;ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT;BEGIN d <= a AND(NOT S);e <= b AND s;y <= d OR e;END ARCHITECTURE one;

【例1-2】

ENTITY mux21a IS PORT(a, b : IN BIT;s : IN BIT;y : OUT BIT);END ENTITY mux21a;ARCHITECTURE one OF mux21a IS BEGIN y <=(a AND(NOT s))OR(b AND s);END ARCHITECTURE one;

【例1-3】 ENTITY mux21a IS PORT(a, b, s: IN BIT;y : OUT BIT);END ENTITY mux21a;ARCHITECTURE one OF mux21a IS BEGIN PROCESS(a,b,s)BEGIN IF s = '0' THEN y <= a;ELSE y <= b;END IF;END PROCESS;END ARCHITECTURE one;

2、对mux21a.vhd文件编译后,再进行波形仿真,完成输入信号a、b、s 输入电平的设置,启动仿真器Simulator,观察输出波形的情况。

3、锁定引脚、编译并编程下载。参选实验电路模式5和附表一,键

1、键

2、键3为输入信号a、b、s分别锁定在EP1K30/50144-PIN TQFP 目标芯片的8、9、10引脚,输出信号y锁定在目标芯片的20引脚。

4、硬件实测2选1多路选择器的逻辑功能。按动GW48实验板上的高低电平输入键

1、键

2、键3,得到不同的s、b、a输入组合;观察输出发光二极管D1的亮灭,检查2选1多路选择器的设计结果是否正确。

思考题

用以上同样的方法设计4选1数据选择器mux41a.vhd,并仿真设计结果。

实验报告要求:

写出实验源程序,画出仿真波形。分析实验结果,以及它们的硬件测试实验结果写进实验报告。写出心得体会。

实验二 D触发器的VHDL设计

一、实验目的:

熟悉利用MAX+plusⅡ的VHDL文本设计流程全过程,学习简单时序电路的设计、仿真和硬件测试。

二、实验内容:

按MAX+plusⅡ的文本输入设计方法与流程完成D触发器的VHDL设计、软件编译、仿真分析、硬件测试及详细实验过程。

D触发器的VHDL设计的参考程序如下:

【例2-1】

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DFF1 IS PORT(CLK : IN STD_LOGIC;D : IN STD_LOGIC;Q : OUT STD_LOGIC);END;ARCHITECTURE bhv OF DFF1 IS BEGIN SIGNAL Q1 : STD_LOGIC;--类似于在芯片内部定义一个数据的暂存节点 BEGIN PROCESS(CLK)BEGIN IF CLK'EVENT AND CLK = '1' THEN Q1 <= D;END IF;Q <= Q1;--将内部的暂存数据向端口输出 END PROCESS;END bhv;

三、实验步骤:

1、打开文本编辑器,输入D触发器的VHDL源程序,并用D_ff.vhd文件名将源程序存盘。

2、选择目标器件EP1K30/50144-PIN TQFP。

3、对D_ff.vhd文件编译后,再进行波形仿真,完成输入信号d、clk输入电平的设置,启动仿真器Simulator,观察输出波形的情况。

4、锁定引脚、编译并编程下载。参选实验电路模式5和附表一,键1为输入信号d锁定在EP1K30/50144-PIN TQFP 目标芯片的8引脚,输出信号q和nq锁定在目标芯片的20和21引脚。

实验报告要求:

写出实验源程序,画出仿真波形。分析实验结果,以及它们的硬件测试实验结果写进实验报告。写出心得体会。

实验三 含异步清0同步时钟使能的4位加法计数器设计

一、实验目的:

学习时序电路的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。

二、实验原理:

图4-1 含计数使能、异步复位和计数值并行预置功能4位加法计数器

图4-1是一含计数使能、异步复位和计数值并行预置功能4位加法计数器,例4-1是其VHDL描述。由图4-1所示,图中间是4位锁存器;rst是异步清信号,高电平有效;clk是锁存信号;D[3..0]是4位数据输入端。当ENA为'1'时,多路选择器将加1器的输出值加载于锁存器的数据端;当ENA为'0'时将“0000”加载于锁存器。

三、实验内容:

1、按照VHDL文本输入设计方法和步骤,在MAX+plusII上对例4-1 进行编辑、编译、综合、适配、仿真。说明例4-1中各语句的作用,详细描述示例的功能特点,给出其所有信号的时序仿真波形。

[例4-1] LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT4B IS PORT(CLK : IN STD_LOGIC;RST : IN STD_LOGIC;ENA : IN STD_LOGIC;OUTY : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT : OUT STD_LOGIC);END CNT4B;ARCHITECTURE behav OF CNT4B IS SIGNAL CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN P_REG: PROCESS(CLK, RST, ENA)BEGIN IF RST = '1' THEN CQI <= “0000”;ELSIF CLK'EVENT AND CLK = '1' THEN IF ENA = '1' THEN CQI <= CQI + 1;END IF;END IF;

OUTY <= CQI;END PROCESS P_REG;--进位输出

COUT<=CQI(0)AND CQI(1)AND CQI(2)AND CQI(3);END behav;

2、引脚锁定以及硬件下载测试。

建议选实验电路模式5,用键8(PIO7)控制RST;用键7(PIO6)控制ENA;计数溢出COUT接发光管D8(PIO15);OUTY是计数输出,接数码1(PIO19-PIO16,低位靠右);时钟CLK接clock0(引脚号为54),通过短路帽选择4Hz信号。引脚锁定窗后进行编译、下载和硬件测试实验。将实验过程和实验结果写进实验报告。

结合第五章例题使学生能够提高对具有计数使能、异步复位和计数值并行预置功能功能的计数器中各功能的实现的认识、并能完成设计。

思考题1:

在例4-1 中是否可以不定义信号 CQI,而直接用输出端口信号完成加法运算,即 : OUTY <= OUTY + 1 ?

思考题2:

修改例4-1,用进程语句和IF语句实现进位信号的检出。

实验报告要求:

写出实验源程序,画出仿真波形。分析实验结果,以及它们的硬件测试实验结果和附加内容实验情况写进实验报告。写出心得体会。

实验四 7段数码显示译码器设计

一、实验目的:

学习7段数码显示译码器设计;学习多层次设计方法。

二、实验原理:

7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例5-1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如图5-1数码管的7个段,高位在左,低位在右。例如当LED7S输出为 “1101101” 时,数码管的7个段:g、f、e、d、c、b、a分别接1、1、0、1、1、0、1,接有高电平的段发亮,于是数码管显示“5”。

三、实验内容:

1、说明例5-1中各语句的含义,以及该例的整体功能。在MAX+plusII上对以下该例进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形(提示:用输入总线的方式给出输入信号仿真数据)。

[例5-1] LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DecL7S IS PORT(A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END;ARCHITECTURE one OF DecL7S IS BEGIN PROCESS(A)BEGIN CASE A(3 DOWNTO 0)IS WHEN “0000” => LED7S <= “0111111”;--X“3F”0 WHEN “0001” => LED7S <= “0000110”;--X“06”1 WHEN “0010” => LED7S <= “1011011”;--X“5B”2 WHEN “0011” => LED7S <= “1001111”;--X“4F”3 WHEN “0100” => LED7S <= “1100110”;--X“66”4 WHEN “0101” => LED7S <= “1101101”;--X“6D”5 WHEN “0110” => LED7S <= “1111101”;--X“7D”6 WHEN “0111” => LED7S <= “0000111”;--X“07”7 WHEN “1000” => LED7S <= “1111111”;--X“7F”8 WHEN “1001” => LED7S <= “1101111”;--X“6F”9 WHEN “1010” => LED7S <= “1110111”;--X“77”10 WHEN “1011” => LED7S <= “1111100”;--X“7C”11 WHEN “1100” => LED7S <= “0111001”;--X“39”12 WHEN “1101” => LED7S <= “1011110”;--X“5E”13 WHEN “1110” => LED7S <= “1111001”;--X“79”14 WHEN “1111” => LED7S <= “1110001”;--X“71”15 WHEN OTHERS => NULL;END CASE;END PROCESS;END;

2、引脚锁定以及硬件下载测试。建议选实验电路模式6,用数码8显示译码输出(PIO46--PIO40),键

8、键

7、键

6、键5四位控制输入,硬件验证译码器的工作性能。

3、用VHDL例化语句(参考实验1中的1位全加VHDL文本输入设计)按图5-2 的方式,以例4-1和例5-1为底层元件,完成顶层文件设计,并重复以上实验过程。注意图5-2中的tmp是4位总线,led是7位总线。对于引脚锁定和实验,建议仍选实验电路模式6,用数码8显示译码输出,用键3作为时钟输入(每按2次键为1个时钟脉冲),或直接时钟信号clock0。

实验报告要求:

写出实验源程序,画出仿真波形。分析实验结果,以及它们的硬件测试实验结果写进实验报告。写出心得体会。

实验五 2位数的十进制计数器的设计

一、实验目的:

通过实验让读者掌握复杂时序逻辑电路的EDA原理图输入设计法和文本输入设计法,通过电路仿真,进一步了解有时钟使能的2位十进制计数器的功能和特性。

二、实验原理:

有时钟使能的2位十进制计数器是频率计的核心元件之一,这里用2个74162来设计完成。

三、实验内容:

1.用文本输入设计方法编写2位十进制计数器的VHDL源程序,并用twin10_g.vhd文件名存盘,参考程序如下: LIBRARY ieee;

USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY twin10_v IS PORT(Clrn,Ent1,Enp,Clk : IN STD_LOGIC;

Qa,Qb

: OUT STD_LOGIC_VECTOR(3 downto 0);

Co

: OUT STD_LOGIC);END twin10_v;ARCHITECTURE a OF twin10_v IS SIGNAL Ent2 : STD_LOGIC;BEGIN

PROCESS(Clk)

VARIABLE tmpa,tmpb :STD_LOGIC_VECTOR(3 downto 0);

BEGIN IF(Clk'event AND Clk='1')THEN

IF Clrn='0' THEN tmpa := “0000”;tmpb := “0000”;

ELSIF(Ent1 AND Enp)='1' THEN

IF tmpa=“1001” THEN

tmpa:=“0000”;

IF tmpb=“1001” THEN tmpb:=“0000”;

ELSE tmpb:= tmpb+1;

END IF;

ELSE tmpa := tmpa+1;

END IF;

END IF;

END IF;

Qa <= tmpa;Ent2<=tmpa(0)AND tmpa(3)AND Ent1;

Qb <= tmpb;Co<= tmpb(0)AND tmpb(3)AND Ent2;END PROCESS;END a;2.同样编译后再进行波形仿真

按照波形仿真的操作步骤,对2位十进制计数器进行波形仿真。

3.锁定引脚、编译并编程下载。参选实验电路模式5和附表一,引脚锁定目标芯片为EP1K30/50144-PIN TQFP 实验报告要求:

写出实验源程序,画出仿真波形。对设计的2位十进制计数器进行实验结果的分析,以及硬件测试实验结果写进实验报告。写出心得体会。

第五篇:《电子技术基础与技能》项目教学的实践与思考

赖永镇

(广东省江门市机电中专 广东 江门 529100)关键词:电子技术基础;项目教学法;教学实践

中图分类号:G712 文献标识码:A 文章编号:1672-5727(2013)07-0108-02 项目教学法是通过实施一个完整的项目而进行的教学活动,其目的是在课堂教学中把理论教学与实践教学有机地结合起来,充分调动学生的学习积极性,发挥学生的创造潜能,提高学生的理论分析水平和实际操作技能的一种教学方法。笔者在职业学校担任了多年的电工电子专业理论教学,以前都是采用传统的教学方法,即领到教材之后,“粗备全书,细备单元,精备课时”,采用“教师教,学生听”的教学方法。但是即使笔者备课很认真,讲得很细致,认真听课的学生也不是很多,教学效果也不够理想。究其原因,主要有下列两点:一是职业学校招收的学生基础知识比较薄弱,缺乏学习的积极性和主动性,进取心不足,缺乏明确的学习目标,大部分学生处于被动学习的状态;二是单纯的讲理论没有实际效果的教学不能激发学生的兴趣。

项目教学法最显著的特点是“以项目为主线、教师为主导、学生为主体”,改变以往“教师讲,学生听”的教学模式,创造了让学生主动参与、自主协作、探索创新的新型教学模式。近三年,笔者在《电子技术基础与技能》这门课中逐步采用了这种教学方法,取得了一点心得。下面通过几个实例说明项目教学的具体做法。制作交流电变直流电的简易电源(项目1)

项目展示 第一周第一堂课,笔者就展示了自己制作的简易电源,电路图如图1所示,并让学生看到了简易电源通电后二极管的发光效果。笔者告诉学生,在接下来的3周时间里,每名学生都要弄清楚这个简易电源的工作原理,里面每一个电子元件的作用,最后要完成这个作品的制作。

项目进程 在接下来的第一周和第二周,在每周3次课的理论教学时间,笔者都围绕着这个项目,讲述了下列知识点:什么是交流电、认识和了解降压变压器、认识和了解普通整流二极管以及由二极管组成的整流电路、认识和了解电阻、电解电容和发光二极管。到第三周,指导学生完成这个项目。

学生分组 笔者将所教班的学生按每组5~6人分成若干组。在分组的时候,笔者注意将外宿生与内宿生进行搭配,将男生与女生进行搭配,将优秀学生与后进学生进行搭配。因为外宿生每天都会离开学校,购买电子元件比较方便,而男生与女生的搭配,优秀生与后进的搭配会使项目实施的效率提高。

项目零件的来源 项目中用到的零件如下:电源线(3A)1条,降压变压器(9V)1只,万能板(10cm×7.5cm)1块,小螺丝(3mm)1对,整流二极管(1N4007)4只,电解电容(470uF/16V)1只,电阻(1KΩ)1只,发光二极管1只。通过教师的动员,对这些零件,有的学生在家里的旧家电里拆下来了,有的用自己的零用钱在电子元件店里买来了新的,有的学生在电器维修店里买到了旧的。有的外宿生主动帮助内宿生到市场上买元件,学生之间加深了友谊。

项目操作示范 在学生基本采购到了材料,准备实施前,笔者认真地讲解了操作的要点和测试的方法,并为学生制作了测试的表格(见表1)。

项目评价及考核 项目完成之后,笔者组织学生对项目的成果进行了评价,评价分为自己评、小组评和教师评。评分标准如表2所示。

分享 项目完成之后,笔者布置学生每个人写一篇简短的总结。内容包括通过这个项目学到了什么知识,制作过程有什么感受和心得体会,写好后按号码顺序上讲台与同学分享,收到了很好的效果。

制作电子闪烁灯(项目2)

制作简易电源的项目完成之后,笔者按照项目从易到难、由浅入深,项目之间有所联系的原则,选了电子闪烁灯这个项目。

项目开始,笔者就展示了笔者自己制作的电子闪烁灯,学生看到两只发光二极管一闪一闪的发光,都觉得非常神奇。笔者将电路图(见图2)画在黑板上,细心的学生看到了这个电路的对称性。又提出了“为什么通电后发光二极管一只先亮一只后亮”的问题。笔者说明电路的元件参数标出是相同的,实际上是有差异的,接着我又详细讲解了电路的工作原理。然后,按照“项目1”的流程一步一步实施,收到了很好的效果。

制作调频闪灯(项目3)

做完“项目2”之后,笔者又向学生提出一个问题,就是“项目2”中发光二极管闪烁的频率是固定的,能不能做一个闪烁频率可以控制的电路呢?有的学生说,可以改变电阻R3和R4的大小;有的学生说,可以改变电容C1和C2的大小。笔者说:“这样的方法都可以,但是不方便、不实用。”接着向学生介绍了单结管,讲解了单结管的工作原理,然后给出了如图3所示的电路。然后按照“项目1”的流程一步一步实施,也收到了很好的效果。

总结

后来,笔者又实践了可调光台灯、小型音频功放、小型开关电源、三路抢答器等项目,都收到了非常好的教学效果。

通过《电子技术基础与技能》项目教学的实践,笔者有如下的体会:

项目任务的制定 项目任务制定是否合适,是这个项目能否顺利实施的关键。学生做的第一个项目一定要比较简单,使学生尝到学习的甜头,觉得完成一个项目并不难。后一个项目最好与前一个项目在知识上有一些联系。例如,第二个项目是制作—个电子闪烁灯,这个闪烁灯的制作过程中就使用了第一个项目制作的简易电源。同时“项目1”的发光二极管只亮不闪、“项目2”的发光二极管又亮又闪的现象,也能激发学生的求知欲。

围绕项目进行理论教学 项目制定以后,教师要围绕制定的项目进行认真的理论教学。项目中要运用到的知识,每一个元件的作用,都要求学生掌握。重复用到的元件,要进行复习巩固。由于有项目任务的驱动,激发了学生的学习兴趣,学生的学习积极性也比较高。

操作示范要到位 要求学生做的项目,教师一定要在布置项目前亲自做一遍。可能会遇到什么问题,教师要心中有数,并对学生进行提示。安全问题一定要特别强调,不能在实施过程和测试过程出现触电、短路、烧坏万用表和电烙铁烫伤身体等事故。

让学生独立思考 每个学生对知识点的理解,完成项目的方法、步骤有可能不同。教师只要求项目成功,不要求方法、步骤一致。比如“项目1”中桥式整流用到的4个二极管,学生可以用4个单独的二极管,也可以用4个二极管组成的整流桥。

让学生在项目实施的过程中加深友谊 通过小组活动,使学生在完成项目的过程中,进行讨论、交流,组员分工协作,取长补短,共同完成项目任务。教师在项目实施过程中要注意发现和培养积极分子,对他们多加鼓励,让他们在项目实施过程中起带头作用。

项目评价 学生学习的效果直接由完成项目的情况来衡量,教师要让学生先对自己的作品进行自评,只要把每个同学的作品摆出来,通过比较,学生就会看到自己作品的档次,然后学生之间进行互评。最后,教师再对每一个同学的作品进行评分。教师在布置全体学生写项目的心得体会时,对评为优秀的学生要个别动员,使学生觉得教师对他的重视,他才会认真地写好心得体会,这对学生本人来说是总结提高,对项目完成比较差的学生也有一种教育作用。参考文献:

[1]张忠慧.项目教学法在中职数控车削教学中的实践与思考[J].职业教育研究,2012(5).[2]陈其纯.电子线路[M].北京:高等教育出版社,1987.[3]朱余钊.电子材料与元件[M].北京:电子科技大学出版社,1995.[4]王建,祁和义.电子制作实训[M].北京:机械工业出版社,2008.[5]卜锡滨.电子技术基础与技能[M].北京:人民邮电出版社,2010.作者简介:

赖永镇(1965—),江西龙南人,广东省江门市机电中专讲师,研究方向为机电技术应用。

下载基于EDA技术的《电子技术基础》教学实践与应用word格式文档
下载基于EDA技术的《电子技术基础》教学实践与应用.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    《Web技术应用基础》课程创新研究与实践

    《Web技术应用基础》课程创新研究与实践 摘要:通过“项目引领、任务驱动”的教学模式,借鉴迭代式项目教学法的特点,进行《WEB技术应用基础》课程体系的创新设计,践行尖子生培养......

    《电子技术基础》教学工作总结

    衡山县职业中专学校2017年上学期 《电子技术基础》教学工作总结 衡山县职业中专学校谭伟红 本学期担任16级电子班的《电子技术基础》的教学工作,《电子技术基础》是电子专业......

    电子技术基础教学反思

    结合时代造就人才 ————《电子技术基础》教学反思 看着11级的孩子们顺利毕业了;感觉很欣慰同时也开始反思自己这一学期自我的收获以及很多的不足之处。回首这一学期的教......

    eda的发展与应用

    EDA技术的发展与应用 摘要本文介绍了EDA技术的的定义、发展及其主要应用,通过叙述EDA技术的定义及EDA技术的发展历程,介绍了EDA技术的基本特征和该技术的应用价值及其发展前......

    《EDA技术基础》实验总结报告及要求

    电工电子中心2009年5月绘制 湖北师范学院电工电子实验教学省级示范中心电子版实验报告 什么什么设计(研究) 红色部分提交时请删除!!! 题目:“什么内容”的设计或“什么内容”的研......

    电工与电子技术基础总结

    2015级机械2班《电工与电子技术基础》教学工作总结 一、基本情况 本学期的教学工作已经结束。现对工作做一个简单的总结,总结成绩,思考不足,以便更好地改进工作。 本学期受学校......

    电工与电子技术基础总结

    10机电班《电工与电子技术基础》教学工作总结 本学期的工作已接近尾声。现对工作做一总结,总结成绩,思考不足,以便更好地改进工作。 本学期受学校的安排,担任了10机电两个班《电......

    电工技术与电子技术实验立体化教材建设与应用

    龙源期刊网 http://.cn 电工技术与电子技术实验立体化教材建设与应用 作者:王香婷 刘涛 徐瑞东 张晓春 来源:《中国电力教育》2012年第30期......