FPGA,学习心得体会

时间:2019-05-11 23:23:52下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《FPGA,学习心得体会》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《FPGA,学习心得体会》。

第一篇:FPGA,学习心得体会

篇一:fpga学习心得大报告

《fpga技术基础》学习报告--课程内容学习心得

姓 名:

学 号: 年级专业: 指导教师:

瞿麟 201010401128 自动化101薛小军摘要从开始学fpga到现在粗略算来的话,已经有3个多月了,就目前而言,我并不确定自己算不算高手们所说的入门了,fpga学习总结。但是不管现在的水平如何,现在就总结一下自己学习它的感受或一些认识吧。

关键词

fpga de2板 quartusii软件 verilog语言

引言

fpga是什么?fpga现状?怎样学习fpga?

fpga是现场可编程门阵列的简称,fpga的应用领域最初为通信领域,但目前,随着信息产业和微电子技术的发展,可编程逻辑嵌入式系统设计技术已经成为信息产业最热门的技术之一,应用范围遍及航空航天、医疗、通讯、网络通讯、安防、广播、汽车电子、工业、消费类市场、测量测试等多个热门领域。并随着工艺的进步和技术的发展,向更多、更广泛的应用领域扩展。越来越多的设计也开始以asic转向fpga,fpga正以各种电子产品的形式进入了我们日常生活的各个角落。

正文

(1)掌握fpga的编程语言

在学习一门技术之前我们往往从它的编程语言开始,如同学习单片机一样,我们从c语言开始入门,当掌握了c语言之后,开发单片机应用程序也就不是什么难事了。学习fpga也是如此,fpga的编程语言有两种:vhdl和verilog,这两种语言都适合用于fpga的编程。(2)fpga实验尤为重要 除了学习编程语言以外,更重要的是实践,将自己设计的程序能够在真正的fpga里运行起来,这时我们需要选一块板子进行实验,我们选择使用de2板才进行试验。初识de2开发板

de2的资源de2的资源非常丰富,包括

1.核心的fpga芯片-cyclone ii 2c35 f672c6,从名称可以看出,它包含有35千个le,在altera的芯片系列中,不算最多,但也绝对够用。altera下载控制芯片-epcs16以及usb-blaste对jtag的支持。

2.存储用的芯片有: 512-kb sram,8-mbyte sdram,4-mbyte flash memory 3.经典io配置:拥有4个按钮,18个拨动开关,18个红色发光二极管,9个绿色发光二极管,8个七段数码管,16*2字符液晶显示屏,4.超强多媒体:24位cd音质音频芯片wm8731(mic输入+linein+ 标准音频输出),视频解码芯片(支持ntsc/pal制式),带有高速dac视屏输出vga模块。5.更多标准接口:通用串行总线usb控制模块以及a、b型接口,sd card接口,irda红外模块,10/100m自适应以太网络适配器,rs-232标准串口,ps/2键盘接口 6.其他:50m,27m晶振各一个,支持外部时钟,80针带保护电路的外接io 7.此外还有:配套的光盘资料,qutuarsii软件,niosii 6.0ide,例程与说明文档。

关于管脚分配

当我们创建一个fpga用户系统的时候,到最后要做的工作就是下载,在下载之前必须根据芯片的型号分配管脚,这样才能将程序中特定功能的管脚与实际中的fpga片外硬件电路一一对应。

fpga简单的说,就是现场可编程逻辑阵列。它的内部是逻辑单元,它们之间可以用线连接,至于以怎样的形式相连,则可以根据应用者写入的逻辑决定。每次布线都会重新组合逻辑单元,从而可以任意的编写不同的逻辑。当然,前提是定义的逻辑块不超出它可读写的最大值。总结在学习fpga时,遇到的问题有许多,譬如,写代码时的警告,特别是一些不能忽视的警告,每次遇到时,总是还要检查一会儿才能改过来,或者有的警告已经出现了几次,但是就是解决不掉。每次在学一个模块时,只要是看懂了,它的一些重点就没有及时的记录在本子上,只有个别的想起来时,才会做笔记。每做完一个模块,没有及时记录下自己从这个模块中学到了什么。上面的不足,都是在写模块的过程中,自己逐渐暴露出来的。我很庆幸自己的一些问题能及时的被发现,避免类似的事情再次发生。像遇到警告时,都要记录下来,通过改正后,要注释,写下警告的原因,定期看一下。每次写模块的时候,都要记下重点知识,即使是自己懂得的,好记性都是比不过烂笔头的。

关于以上的总结,我相信在以后的学习中一定会对自己有莫大的帮助,它会时刻警醒自己,在以前的学习中,自己有哪些不足,以后千万不能再去犯同样的错误,不断地纠正,不断地进步,相信自己一定会学好fpga的。篇二:fpga学习心得

回想起自己学fpga,已经有一段时间了,从开始的茫然,到后来的疯狂看书,设计开发板,调电路,练习各种fpga实例,到最后能独立完成项目,一路走来,感受颇多,拿出来和大家分享,顺便介绍下自己的一点经验所得,希望对初学者有所帮助。废话不说了,下面进入正题,学习fpga我主要经历了这么几个阶段:

①、verilog语言的学习,熟悉verilog语言的各种语法。

②、fpga的学习,熟悉quartusii软件的各种功能,各种逻辑算法设计,接口模块(rs232,lcd,vga,spi,i2c等)的设计,时序分析,硬件优化等,自己开始设计简单的fpga板子。③、niosii的学习,熟悉niosii的开发流程,熟悉开发软件(sopc,niosii ide),了解niosii的基本结构,设计niosii开发板,编写niosii c语言程序,调试板子各模块功能。先来说说第一个阶段,现在主要的硬件描述语言有vhdl,verilog两种,在本科时老师一般教vhdl,不过现在

verilog用的人越来越多,其更容易上手(与c语言语法比较类似),也更灵活,现在的ic设计基本都用verilog。像systemc,systemverilog之类的应该还在萌芽阶段,以后可能会有较大发展。鉴于以上原因我选择了verilog作为我学习的硬件描述语言。

其实有c语言的基础,学起verilog的语言很简单,关键要有并行的概念,所有的module,assign,always都是并行的,这一点与软件语言有明显不同。这里推荐几本评价比较好的学习verilog的书籍: ①、《verilog 数字系统设计教程》,这本书对于入门是一本很好的书,通俗易懂,让人很快上手,它里面的例子也不错。但本书对于资源优化方面的编程没有多少涉及到。②、《设计与验证verilog hdl》,这本书虽然比较薄,但是相当精辟,讲解的也很深入,很多概念看了这本书有种豁然开朗的感觉,呵呵。

学习verilog其实不用看很多书,基本的语法部分大家都一样,关键是要自己会灵活应用,多做练习。

verilog语言学了一段时间,感觉自己可以编点东西,希望自己编的程序在板子上运行看看结果,下面就介绍我学习的第二个阶段。

刚开始我拿了实验室一块cpld的开发板做练习,熟悉quartusii的各种功能,比如ip的调用,各种约束设置,时序分析,logiclock设计方法等,不过做到后面发现cpld的资源不太够(没有内嵌的ram、不能用signaltapii,le太少等),而实验室没有fpga开发板,所以就萌生了自己做fpga开发板的意图,刚好cadence我也学的差不多了,就花了几天时间主要研究了fpga配置电路的设计,在板子上做了jtag和as下载口,在做了几个用户按键和led,其他的口全部引出作为io口,电路比较简单,板子焊好后一调就通了(心里那个爽啊...)。我选的fpga是cycloneii系列的ep2c5,资源比以前的fpga多了好几倍,还有pll,内嵌的ram,可以试试signaltapii,用内嵌的逻辑分析仪测试引脚波形,对于fpga的调试,逻辑分析仪是至关重要的。利用这块板子我完成了项目中的几个主要功能:rs232通信,指令译码,配置dds,ad数据高速缓存,电子开关状态设置等,在实践中学习起来真的比平时快很多,用到什么学什么动力更大。这个时候我主要看的数据有这几本感觉比较好: ①、《altera fpga/cpld 设计(基础篇)》:讲解一些基本的fpga设计技术,以及quartusii中各个工具的用法(ip,rtl,signalprobe,signaltapii,timing closure floorplan,chip editor等),对于入门非常好。②、《altera fpga/cpld 设计(高级篇)》:讲解了一些高级工具的应用,logiclock,时序约束很分析,设计优化,也讲述了一些硬件编程的思想,作为提高用。③、《fpga设计指南--器件,工具和流程》:这本书看了他的目录忍不住就买了,这本书讲述了fpga设计的各个方面,虽然每个方面都是点到为止,但能让你有个整体的概念,了解fpga的所有设计功能,了解fpga开发的整个流程。

④、在这里也推荐几个学习fpga比较好的论坛,不过很多人一看到英语就不想看,其实上面的英文很简单,很多时候不敢看是因为对自己没信心或心静不下来看。不过官方网站上资料很多,刚开始可能会觉得资料安排的有点乱,不方便查找,以后有时间我列个资料的链接目录,整理一下,方便大家查找。

到这里,自己最fpga的学习有一段时间了,练习了很多实例,自己也编写了不少程序,也有了一些项目经验,算是对fpga有些了解了。在不断的学习中发现fpga不仅可以做逻辑设计,算法设计等,还能做嵌入式开发,altera先后开发了nios,niosii两款fpga的嵌入式软核,并有配套的软件,刚开始看到这些我真是心中突然豁然开朗,学习真的是无止境,又一个全新的领域摆在我面前,我决定学习niosii,要学就要学最好。

刚开始入门是很痛苦的,嵌入式设计需要从硬件到驱动到软件全部熟悉,硬件系统问题还不是很大(以前做过单片机,dsp等mcu),处理器的架构心里还有点数,对于驱动和软件工程,刚开始学习真的很头痛。niosii应该还算比较新的内容(应该是2004年出的),国内的书籍不算很多,网上这方面的资料也比较零碎,所以我就开始将altera网站上这方面的资料系统的看一边,这里推荐几本网站上的handbook: ①、embedded design handbook ②、nios ii processor reference handbook ③、nios ii software developers handbook ④、quartus ii handbook, volume 4: sopc builder ⑤、quartus ii handbook, volume 5: embedded peripherals 看完这些handbook,总算基本明白整个架构,软硬件设计方法,驱动的编写等,感觉自己可以编一些嵌入式的程序了,不过虽然前面做的那块ep2c5的板子支持niosii系统,不过对于嵌入式设计来说还是显得单薄了一点,没有sdram,flash这两个比较基本的模块,ep2c5内嵌的ram太小,程序写不大,而且每次总要绞尽脑汁优化程序代码大小,很多时候优化了后函数功能会受到限制,不利于初学者,也不利于调试。所以到这里我有产生了自己做一块nios开发板的想法(直接买比较贵,自己做便宜,而且还能锻炼自己,一举两得),通过借鉴其他开发板,选择自己开发板上需要包含什么模块,确定各个模块使用什么芯片,阅读各个芯片的datasheet,画出原理图并做出pcb图,这块板子我选的是ep2c8q208,比上一块资源又将近多了一倍,板子上还有以下模块:sdram,flash,epcs4,rs232,usb,vga,ps2,ad,da,lcd等,满足了一般开发板的配置要求。板子回来以后调试了四五天,(flash工作了,lcd显示了,rs232通了,usb通了,ad,da工作了,sdram正常了...),真是每天都有惊喜,每个模块都编写了niosii软件测试程序,调试硬件的时候对软件的运行也更熟悉了。在这次调试的过程中真的学到了很多,为此专门写了好几页调试笔记,下次拿出来和大家一起分享。现在硬件平台有了,niosii也了解的差不多了,终于可以自己编写一些规模大一点的程序了。学fpga的一点心得

从去年开始学fpga,以前一直做dsp,但因为项目的需要,在一个应用中只有fpga才能解决问题,所以硬着头皮上fpga,又因为结项目的时间比较紧,所以主要以解决问题为目的,说真的,项目做下来写了四千多行的代码,达到了设计目标,但对fpga学习还不够系统,也正进一步的学习中.因为我是单干,没有团队,遇到问题只能在网上找资料,其难度可想而知,幸好以前用过cpld,有一点基础,用的编程语言是ahdl,感觉ahdl写一点小程序还可以,但做复杂程序就有点费力了(应该是我的ahdl没学好),所以在做fpga程序时我选择了比较简单的 verilog语言。

由于没人指导,所以买了一个简单的fpga开发板,是cyclone的,开发板就是好,送了较多学习资料,用了一个星期的时间,学习了niosii的基本应用,当然,我的需要就是知道如何在nios里控制一个信号的高低电平变化就够了,其它全是c语言的东西,主要是熟悉了quartus的开发平台,并用nios程序把要实现的主要代码用c写了一遍,通过了,但速 度上不去。有这些知识做铺垫后,就开始了项目的设计。项目要求将外部高速数据(40mb/s)进行存盘,并可以将存盘的数据在适当的时候原路返回(速度不变)。所以在硬件的设计上fpga选择了ep2c35f484c8n,外部有128m的sdram做为数据的缓冲。所以fpga的主要程序集中在atahost控制器(实现udma读写)、sdram控制器(大容量循环缓冲)上,当然还包括一些其它的控制,如实时时钟,usb2.0芯片控制,rs232的通信及相应的通信协议。

硬件完成后,大部分的时间都在写程序,感觉verilog跟c差不多,就将原来写成的c代码翻译了一下,每写一段代码就测试一下。起初并不知道在quartus里还有逻辑分析仪的功能,所以程序出了问题只能使劲的分析代码,猜想问题可能出在哪里,万不得已时才做一下仿真(后来看很多有做程序都先做仿真,仿真通过后再下载到目标板里运行测试,但到现在我的仿真关还没过),所以做得很累。我感到这样做下去到时间结点肯定结了不了项目,就找fpga的qq群向高手们请教经验,知道了可以quartus的逻辑分析仪来观察信号,哈哈,爽了(感谢感谢)。后面的程序找问题就容易多了,按照ata协议、sdram手册、及各外围芯片手册一步步写下去,当然其中也遇到了各种各样的问题,但很快得到解决。一直到 项目结束,都是用逻辑分析仪来找问题,没做过仿真(所以现在仿真关还没过)。今年又用fpga做了一些项目。简单说一下体会吧,归结起来就三个字:做、想、问。书读千遍,不如做一遍;看别人做百次,不如自己做一次;就是要实践。实践的动力一方面来自兴趣,别一方面来自己压力,我个人觉得后者更重要。有需求会容易形成压力,也就是说最好能在实际的项目开发中锻炼,而不是为了学习而学习。在做的过程中要多想,多想想问题出现的原因,问题解决后要多问几个为什么,这也是经验积累的过程,最好要写项目日志,把问题及原因、解决的办法都写进去。还要多问,遇到问题经历了痛苦的思索后还得不到解决就要问了,问搜索引擎,问网友,问同学同行,一篇文章、朋友们的点拨都可能帮助 自己快速解决问题。

fpga入门心得及系列资料

不知道为什么自己每次接受一个新事物,总要花上那么长的一段时间。每个东西,总要经过从一点都不了解,到有点了知道,到最后才想知道要来学学,但每次学习时也总是走马观花。可能真的受中国的教育思想束缚的太严重了吧。以前的人读书,好像也没有什么目标,只是知道上课要认真听,考试要考好。这样就可以了。也从来没想过,我学这个到底有什么用呢。但是上了大学后,一切都改变了。我们的学习也不再是为了考试而考试了。尤其是像我们学电子,假如仅仅是为考试的话,那你的大学完全可以过得很轻松,整天睡觉,游戏,照样可以考高分。那样毕业了,你说你的大学学习是不是等于0。到头来还是什么也不是。所以当你进入大学,当你选择了电子,就决定了你从此要为之付出。不管将来怎样,只要你朝着自己的方向走,一定会成功的。

现在说说fpga的学习吧,或许,很多人刚接触fpga时,可能还在为到底什么是cpld,什么时fpga,我到底要学习哪门语言而苦恼,不知大家是不是这样,但是我曾经就是这样,也到网上去找过,最后也终于找到了答案。因为那时身边的人都还没学过。其实cpld,fpga最大的区别也就是fpga的程序必须由配置芯片经过上电后,将程序写入芯片中,也就是fpga的内部存储器是相当于内存一样,一掉电就没了。而cpld是像单片机一样,程序是存储存在内部中的,一上电就可以跑了。二者的功能差不多,但是fpga的资源比cpld更加丰富。在cpld上可以实现的功能,在fpga上基本都可以实现的。所以也不必去想太多什么是fpga,cpld了,只要弄懂了二者的内部结构就可以了。

至于学什么语言吗,首先要看你们在校老师上课是用什么语言,就先那个语言吧,我们学校老师是用vhdl语言的,所以一开始我也是先学vhdl语言,而且学习资料比较多,但是在网上看到,好像公司里面用的比较多的是verilog hdl语言,为了能看懂一些资料,现在也在学verilog hdl语言,学习中发现,要是学过c语言的人,会发现verilog hdl好像更加好理解。

一旦你开始了学习fpga,那就要坚持下去,因为语言这东西,要是不一下子把它强记住,过不了两天就又忘了,书本的东西,一定要越快消化越好,这样你看别人的程序时就不会有什么语言障碍了。之后就是编写程序,模仿别人的模块,仿真实验,这步很重要,要不你就不会发现fpga功能的强大,你也不知道到底仿真的结果和实际是不是有差别。当你成功时,你一定会感到成功的喜悦。

当你基本的程序都看得懂时,有完整地编个程序,而且在硬件上实验过时,那么祝贺你入门了,之后的道路就是不断的实践,跟学习单片机一样,只有不断的学习,不断的做东西,你的编程能力才会提高。

以上仅仅为作者本人的一点观点,本人现在还是一个电子白菜,只是苦于自己学习电子找不到捷径,不想还有更多的人跟我一样,在电子的道路中不断摸索,不断徘徊。

看过一个高手对fpga的认识,自己对fpga未来的发展更是看好,鉴定了学好的决心,fpga在电子开发工作中已经上升到数字系统核心处理器,尽快掌握fpga开发技术显得非常迫切。总结了一下: 传统的开发方式:一半固定,硬件cpu是不可编程的,另一半灵活是可编程的软件

fpga新开发形式:两个部分都是可编程了,fpga,它代表的就是硬件的编程。这两部分都可编程的一个结合点就是fpga上的软核。另外可以根据需要通过外部单片机把合适的应用bit流写入到fpga内,从而完成根据功能需要变更硬件。硬件可重构!

使计算机的能力越来越强,方法一:通过提高工艺来提高工作频率;方法二:通过优化系统体系,并行!

新学习思想: fpga设计有点象围棋:易学难精 带着问题学习是最有效率的

时序性能的调整提高是fpga开发能力的标志

多看书,但要注意,书当做字典,不是所有地方都去看。

目前,在fpga上有三种类型开发方法和应用方向:a、逻辑类应用 b、软核类应用 c、dsp类应用。逻辑类应用我们接触的最早,也是fpga最初的应用领域,大的应用上,一些数字ic设计可以在fpga做前期的功能验证,在通信领域,fpga做信号的编解码等等,小的应用上我们做的最多的实际是cpld,完成信号的变换控制等等。软核应用是前几年才兴起,现在热门的开发应用方法,在原本需要fpga结合cpu的地方有成本和灵活性优势。

fpga的dsp应用是非常有潜力的,性能优势非常明显。开发方法是用matlab的simulink中嵌入厂商的开发工具包,算法验证在matlab simulink工具下完成,在开发工具包的支持下生成hdl模块或者直接生成fpga下载配置文件,这个方向是fpga应用最有挑战能力领域。mathworks公司不久前也推出了独立于fpga厂商的simulink hdl coder工具,使的matlab在数字系统设计领域迈出了坚实的一步,把simulink 模型和stateflow框图生成位真(bit-ture)、周期精确(cycle-accurate)、可综合的verilog和vhdl代码,为matlab simulink用户提供了通往fpga设计实现的直接通道。篇三:fpga学习心得大报告

《fpga技术基础》学习报告--课程内容学习心得

姓 名:

学 号: 年级专业: 指导教师:

李绍华 201110401132 自动化111薛晓军摘要从开始学fpga到现在粗略算来的话,已经有3个多月了,就目前而言,我并不确定自己算不算高手们所说的入门了,fpga学习总结。但是不管现在的水平如何,现在就总结一下自己学习它的感受或一些认识吧。

关键词; fpga de2板 quartusii软件 verilog语言

引言

fpga是什么?fpga现状?怎样学习fpga?

fpga是现场可编程门阵列的简称,fpga的应用领域最初为通信领域,但目前,随着信息产业和微电子技术的发展,可编程逻辑嵌入式系统设计技术已经成为信息产业最热门的技术之一,应用范围遍及航空航天、医疗、通讯、网络通讯、安防、广播、汽车电子、工业、消费类市场、测量测试等多个热门领域。并随着工艺的进步和技术的发展,向更多、更广泛的应用领域扩展。越来越多的设计也开始以asic转向fpga,fpga正以各种电子产品的形式进入了我们日常生活的各个角落。

正文

(1)掌握fpga的编程语言

在学习一门技术之前我们往往从它的编程语言开始,如同学习单片机一样,我们从c语言开始入门,当掌握了c语言之后,开发单片机应用程序也就不是什么难事了。学习fpga也是如此,fpga的编程语言有两种:vhdl和verilog,这两种语言都适合用于fpga的编程。(2)fpga实验尤为重要 除了学习编程语言以外,更重要的是实践,将自己设计的程序能够在真正的fpga里运行起来,这时我们需要选一块板子进行实验,我们选择使用de2板才进行试验。初识de2开发板

de2的资源de2的资源非常丰富,包括

1.核心的fpga芯片-cyclone ii 2c35 f672c6,从名称可以看出,它包含有35千个le,在altera的芯片系列中,不算最多,但也绝对够用。altera下载控制芯片-epcs16以及usb-blaste对jtag的支持。

2.存储用的芯片有: 512-kb sram,8-mbyte sdram,4-mbyte flash memory 3.经典io配置:拥有4个按钮,18个拨动开关,18个红色发光二极管,9个绿色发光二极管,8个七段数码管,16*2字符液晶显示屏,4.超强多媒体:24位cd音质音频芯片wm8731(mic输入+linein+ 标准音频输出),视频解码芯片(支持ntsc/pal制式),带有高速dac视屏输出vga模块。5.更多标准接口:通用串行总线usb控制模块以及a、b型接口,sd card接口,irda红外模块,10/100m自适应以太网络适配器,rs-232标准串口,ps/2键盘接口 6.其他:50m,27m晶振各一个,支持外部时钟,80针带保护电路的外接io 7.此外还有:配套的光盘资料,qutuarsii软件,niosii 6.0ide,例程与说明文档。

关于管脚分配

当我们创建一个fpga用户系统的时候,到最后要做的工作就是下载,在下载之前必须根据芯片的型号分配管脚,这样才能将程序中特定功能的管脚与实际中的fpga片外硬件电路一一对应。

fpga简单的说,就是现场可编程逻辑阵列。它的内部是逻辑单元,它们之间可以用线连接,至于以怎样的形式相连,则可以根据应用者写入的逻辑决定。每次布线都会重新组合逻辑单元,从而可以任意的编写不同的逻辑。当然,前提是定义的逻辑块不超出它可读写的最大值。总结在学习fpga时,遇到的问题有许多,譬如,写代码时的警告,特别是一些不能忽视的警告,每次遇到时,总是还要检查一会儿才能改过来,或者有的警告已经出现了几次,但是就是解决不掉。每次在学一个模块时,只要是看懂了,它的一些重点就没有及时的记录在本子上,只有个别的想起来时,才会做笔记。每做完一个模块,没有及时记录下自己从这个模块中学到了什么。上面的不足,都是在写模块的过程中,自己逐渐暴露出来的。我很庆幸自己的一些问题能及时的被发现,避免类似的事情再次发生。像遇到警告时,都要记录下来,通过改正后,要注释,写下警告的原因,定期看一下。每次写模块的时候,都要记下重点知识,即使是自己懂得的,好记性都是比不过烂笔头的。

关于以上的总结,我相信在以后的学习中一定会对自己有莫大的帮助,它会时刻警醒自己,在以前的学习中,自己有哪些不足,以后千万不能再去犯同样的错误,不断地纠正,不断地进步,相信自己一定会学好fpga的。

第二篇:fpga学习心得体会

fpga学习心得体会

1、该课程实现了通过usb 接口将代码与实验设备相结合的功能,并且使我对EL-S0PC4000 实验箱有了接触,了解并掌握了其所具备的一些功能,在实验中不仅使我学到了很多知识,并且其过程还充满了乐趣。

2、QuartusII 软件及FPGA 的设计与应用所采用的语言与我所学过的一些语言有所不同,该种语法与C 语言有一些相似之处,但在细枝末节上有该语言自己的习惯,这是学习这门语言的要点。学习一门语言并不是一周两周就能速成的,想要掌握这门语言还是需要日后自己不断地练习不断地积累经验,在完成一项工程后所带来的成就感也是使我持续学习的动力。

3、此外,该门课程与我之前学习的数电这门课程息息相关,他也是仿真了许多数电的一些电路,使我对数电的理解更加的深刻,既锻炼了我的动手能力,同时也锻炼了我的逻辑思维能力。在这几次的数电实验中,我收获颇多,我们经过老师的教导,学会了FPGA,Verilog编程,我们也学会了使用Quartus软件,并用电路板进行了多次FGPA实验,了解了Verilog的设计;实验中我学会了用Quartus软件绘图、编码以及与电路板的连接;实验中,我遇到了很多问题,但是经过老师和同学们的帮助,我都逐一的解决了这些问题并完成了实验。实验中我们收获最多重要的是,结合电路板进行代码的编译、调试,希望以后我们会有更多的机会学习了解verilog编程

第三篇:如何学习fpga

如何学习FPGA

关键词:工作人员, 硬件, 设计, FPGA

掌握FPGA可以找到一份很好的工作,对于有经验的工作人员,使用FPGA可以让设计变得非常有灵活性。掌握了FPGA设计,单板硬件设计就非常容易(不是系统设计),特别是上大学时如同天书的逻辑时序图,看起来就非常亲切。但FPGA入门却有一定难度,因为它不像软件设计,只要有一台计算机,几乎就可以完成所有的设计。FPGA设计与硬件直接相关,需要实实在在的调试仪器,譬如示波器等。这些硬件设备一般比较昂贵,这就造成一定的入门门槛,新人在入门时遇到一点问题或者困难,由于没有调试设备,无法定位问题,最后可能就会放弃。其实这时如果有人稍微指点一下,这个门槛很容易就过去。我用FPGA做设计很多年了,远达不到精通的境界,只是熟悉使用,在这里把我对FPGA学习步骤理解写出来,仅是作为一个参考,不对的地方,欢迎大家讨论和指正。

FPGA学习步骤

1、工欲善其事,必先利其器。

计算机必不可少。目前FPGA应用较多的是Altera和xilinx这两个公司,可以选择安装quartusII或者ISE软件。这是必备的软件环境。

硬件环境还需要下载器、目标板。虽然有人说没有下载器和目标板也可学习fpga,但那总是纸上谈兵。这就像谈女朋友,总是嘴上说说,通个电话,连个手都没牵,能说人家是你朋友?虽说搭建硬件环境需要花费,但想想,硬件环境至多几百元钱,你要真的掌握FPGA的设计,起薪比别人都不止高出这么多。这点花费算什么?

FPGA学习步骤

2、熟悉verilog语言或者vhdl语言,熟练使用quartusII或者ISE软件。

VHDL和verilog各有优点,选择一个,建议选择verilog。熟练使用设计软件,知道怎样编译、仿真、下载等过程。

起步阶段不希望报一些培训班,除非你有钱,或者运气好,碰到一个水平高、又想把自己的经验和别人共享的培训老师,不然的话,培训完后总会感觉自己是一个冤大头。入门阶段可以在利用网络资源完成。

FPGA学习步骤

3、设计一个小代码,下载到目标板看看结果

此时可以设计一个最简答的程序,譬如点灯。如果灯在闪烁了,表示基本入门了。如果此时能够下载到FPGA外挂的flash,FPGA程序能够从flash启动,表明FPGA的最简单设计你已经成功,可以到下一步。

FPGA学习步骤

4、设计稍微复杂的代码,下载到目标板看看结果。

可以设计一个UART程序,网上有参考,你要懂RS232协议和FPGA内置的逻辑分析仪。网上下载一个串口调试助手,调试一番,如果通信成功了,恭喜,水平有提高。进入下一步。

FPGA学习步骤

5、设计复杂的代码,下载到目标板看看结果。

譬如sdram的程序,网上也有参考,这个设计难度有点大。可用串口来调试sdram,把串口的数据存储到sdram,然后读回,如果成功,那你就比较熟悉FPGA设计了

FPGA学习步骤

6、设计高速接口,譬如ddr2或者高速串行接口

这要对FPGA的物理特性非常了解,而且要懂得是时序约束等设计方法,要看大量的原厂文档,这部分成功了,那就对FPGA的物理接口掌握很深,你就是设计高手了

FPGA学习步骤

7、设计一个复杂的协议

譬如USB、PCIexpress、图像编解码等,锻炼对系统的整体把握和逻辑划分。完成这些,你就是一个一流的高手、FPGA学习步骤

8、学习再学习

学习什么,我也不知道,我只知道“学无止境,山外有山”。

现在很多FPGA工程师,没找到合适,我觉得很多人从开始的时候就误入歧途了,对新手学习FPGA设计我也说一点看法吧。我认为要从基础开始做,基础牢,才有成为高手的可能。

我觉得FPGA学习有以下几步必须要走:

第一步:学习了解FPGA结构,FPGA到底是什么东西,芯片里面有什么,不要开始就拿个开发板照着别人的东西去编程。很多开发板的程序写的很烂,我也做过一段时间的开发板设计,我觉得很大程度上,开发板在误人子弟。不过原厂提供的正品开发板,代码很优秀的,可以借鉴。只有了解了FPGA内部的结构才能明白为什么写Verilog和写C整体思路是不一样的。

第二步:掌握FPGA设计的流程。了解每一步在做什么,为什么要那么做。很多人都是不就是那几步吗,有什么奇怪的?呵呵,我想至少有一半以上的人不知道synthesize和traslate的区别吧。

了解了FPGA的结构和设计流程才有可能知道怎么去优化设计,提高速度,减少资源,不要急躁,不要去在为选择什么语言和选择哪个公司的芯片上下功夫。语言只是一个表达的方式,重要的是你的思维,没有一个好的指导思想,语言用得再好,不过是个懂语言的人。

第三步:开始学习代码了。我建议要学代码的人都去Altera或Xilinx的网站上下原厂工程师的代码学习。不要一开始就走入误区。

第四步:template很重要。能不能高效利用FPGA资源,一是了解fpga结构,二是了解欲实现的逻辑功能和基本机构,三是使用正确的模板。FPGA内部器件种类相对较单一,用好模板,你的逻辑才能被高效的综合成FPGA擅长表达的结构:)

做FPGA主要是要有电路的思想,作为初学者,往往对器件可能不是熟悉,那么应该对于数字电路的知识很熟悉吧,FPGA中是由触发器和查找表以及互联线等基本结构组成的,其实在我们在代码里面能够看到的就是与非门以及触发器,不要把verilog和c语言等同起来,根本就是不同的东西,没有什么可比性,在写一句程序的时候应该想到出来的是一个什么样的电路,计数

器 选择器 三态门等等,理解时序,逻辑是一拍一拍的东西,在设计初期想的不是很清楚的时候可以画画时序图,这样思路会更加的清晰,还有就是仿真很重要,不要写完程序就去往FPGA中去加载,首先要仿真,尤其是对比较大型一点的程序,想像自己是在做asic,是没有二次机会的,所以一定要把仿真做好,还有很多新手对于语言的学习不知道选vhdl好还是verilog好,个人偏好verilog,当然不是说vhdl不好,反正写出来的都是电路,那当然就不要在语言的语法上面花太多的功夫了,verilog 言简意赅assign always case if else 掌握这些几乎可以写出90%的电路了,上面是我对FPGA学习的一些愚见,希望对大家有所帮助。

第四篇:浅谈FPGA学习

为什么大量的人会觉得FPGA难学?一位高人决心开贴来详细讲一下菜鸟觉得FPGA难学的几大原因。

1、不熟悉FPGA的内部结构,不了解可编程逻辑器件的基本原理。

FPGA为什么是可以编程的?恐怕很多菜鸟不知道,他们也不想知道。因为他们觉得这是无关紧要的。他们潜意识的认为可编程嘛,肯定就是像写软件一样啦。软件编程的思想根深蒂固,看到Verilog或者VHDL就像看到C语言或者其它软件编程语言一样。一条条的读,一条条的分析。如果这些菜鸟们始终拒绝去了解为什么FPGA是可以编程的,不去了解FPGA的内部结构,要想学会FPGA恐怕是天方夜谭。虽然现在EDA软件已经非常先进,像写软件那样照猫画虎也能综合出点东西,但也许只有天知道EDA软件最后综合出来的到底是什么。也许点个灯,跑个马还行。这样就是为什么很多菜鸟学了N久以后依然是一个菜鸟的原因。那么FPGA为什么是可以“编程”的呢?首先来了解一下什么叫“程”。启示“程”只不过是一堆具有一定含义的01编码而已。编程,其实就是编写这些01编码。只不过我们现在有了很多开发工具,通常都不是直接编写这些01编码,而是以高级语言的形式来编写,最后由开发工具转换为这种01编码而已。对于软件编程而言,处理器会有一个专门的译码电路逐条把这些01编码翻译为各种控制信号,然后控制其内部的电路完成一个个的运算或者是其它操作。所以软件是一条一条的读,因为软件的操作是一步一步完成的。而FPGA的可编程,本质也是依靠这些01编码实现其功能的改变,但不同的是FPGA之所以可以完成不同的功能,不是依靠像软件那样将01编码翻译出来再去控制一个运算电路,FPGA里面没有这些东西。FPGA内部主要有三块:可编程的逻辑单元、可编程的连线和可编程的IO模块。可编程的逻辑单元是什么?其基本结构由某种存储器(SRAM、FLASH等)制成的4输入或6输入1输出地“真值表”加上一个D触发器构成。任何一个4输入1输出组合逻辑电路,都有一张对应的“真值表”,同样的如果用这么一个存储器制成的4输入1输出地“真值表”,只需要修改其“真值表”内部值就可以等效出任意4输入1输出的组合逻辑。这些“真值表”内部值是什么?就是那些01编码而已。如果要实现时序逻辑电路怎么办?这不又D触发器嘛,任何的时序逻辑都可以转换为组合逻辑+D触发器来完成。但这毕竟只实现了4输入1输出的逻辑电路而已,通常逻辑电路的规模那是相当的大哦。那怎么办呢?这个时候就需要用到可编程连线了。在这些连线上有很多用存储器控制的连接点,通过改写对应存储器的值就可以确定哪些线是连上的而哪些线是断开的。这就可以把很多可编程逻辑单元组合起来形成大型的逻辑电路。最后就是可编程的IO,这其实是FPGA作为芯片级使用必须要注意的。任何芯片都必然有输入引脚和输出引脚。有可编程的IO可以任意的定义某个非专用引脚(FPGA中有专门的非用户可使用的测试、下载用引脚)为输入还是输出,还可以对IO的电平标准进行设置。总归一句话,FPGA之所以可编程是因为可以通过特殊的01代码制作成一张张“真值表”,并将这些“真值表”组合起来以实现大规模的逻辑功能。不了解FPGA内部结构,就不能明白最终代码如何变到FPGA里面去的。也就无法深入的了解如何能够充分运用FPGA。现在的FPGA,不单单是有前面讲的那三块,还有很多专用的硬件功能单元,如何利用好这些单元实现复杂的逻辑电路设计,是从菜鸟迈向高手的路上必须要克服的障碍。而这一切,还是必须先从了解FPGA内部逻辑及其工作原理起。

2、错误理解HDL语言,怎么看都看不出硬件结构。

HDL语言的英语全称是:Hardware Description Language,注意这个单词Description,而不是Design。老外为什么要用Description这个词而不是Design呢?因为HDL确实不是用来设计硬件的,而仅仅是用来描述硬件的。描述这个词精确地反映了HDL语言的本质,HDL语言不过是已知硬件电路的文本表现形式而已,只是将以后的电路用文本的形式描述出来而已。而在编写语言之前,硬件电路应该已经被设计出来了。语言只不过是将这种设计转化为文字表达形式而已。但是很多人就不理解了,既然硬件都已经被设计出来了,直接拿去制作

部就完了,为什么还要转化为文字表达形式再通过EDA工具这些麻烦的流程呢?其实这就是很多菜鸟没有了解设计的抽象层次的问题,任何设计包括什么服装、机械、广告设计都有一个抽象层次的问题。就拿广告设计来说吧,最初的设计也许就是一个概念,设计出这个概念也是就是一个点子而已,离最终拍成广告还差得很远。硬件设计也是有不同的抽象层次,每一个层次都需要设计。最高的抽象层次为算法级、然后依次是体系结构级、寄存器传输级、门级、物理版图级。使用HDL的好处在于我们已经设计好了一个寄存器传输级的电路,那么用HDL描述以后转化为文本的形式,剩下的向更低层次的转换就可以让EDA工具去做了,这就大大的降低了工作量。这就是可综合的概念,也就是说在对这一抽象层次上硬件单元进行描述可以被EDA工具理解并转化为底层的门级电路或其他结构的电路。在FPGA设计中,就是在将这以抽象层级的意见描述成HDL语言,就可以通过FPGA开发软件转化为问题1中所述的FPGA内部逻辑功能实现形式。HDL也可以描述更高的抽象层级如算法级或者是体系结构级,但目前受限于EDA软件的发展,EDA软件还无法理解这么高的抽象层次,所以HDL描述这样抽象层级是无法被转化为较低的抽象层级的,这也就是所谓的不可综合。所以在阅读或编写HDL语言,尤其是可综合的HDL,不应该看到的是语言本身,而是要看到语言背后所对应的硬件电路结构。如果看到的HDL始终是一条条的代码,那么这种人永远摆脱不了菜鸟的宿命。假如哪一天看到的代码不再是一行行的代码而是一块一块的硬件模块,那么恭喜脱离了菜鸟的级别,进入不那么菜的鸟级别。

3、FPGA本身不算什么,一切皆在FPGA之外这一点恐怕也是很多学FPGA的菜鸟最难理解的地方。

FPGA是给谁用的?很多学校解释为给学微电子专业或者集成电路设计专业的学生用的,其实这不过是很多学校受资金限制,买不起专业的集成电路设计工具而用FPGA工具替代而已。其实FPGA是给设计电子系统的工程师使用的。这些工程师通常是使用已有的芯片搭配在一起完成一个电子设备,如基站、机顶盒、视频监控设备等。当现有芯片无法满足系统的需求时,就需要用FPGA来快速的定义一个能用的芯片。前面说了,FPGA里面无法就是一些“真值表”、触发器、各种连线以及一些硬件资源,电子系统工程师使用FPGA进行设计时无非就是考虑如何将这些以后资源组合起来实现一定的逻辑功能而已,而不必像IC设计工程师那样一直要关注到最后芯片是不是能够被制造出来。本质上和利用现有芯片组合成不同的电子系统没有区别,只是需要关注更底层的资源而已。要想把FPGA用起来还是简单的,因为无非就是那些资源,在理解了前面两点再搞个实验板,跑跑实验,做点简单的东西是可以的。而真正要把FPGA用好,那光懂点FPGA知识就远远不够了。因为最终要让FPGA里面的资源如何组合,实现何种功能才能满足系统的需要,那就需要懂得更多更广泛的知识。

目前FPGA的应用主要是三个方向:

第一个方向,也是传统方向主要用于通信设备的高速接口电路设计,这一方向主要是用FPGA处理高速接口的协议,并完成高速的数据收发和交换。这类应用通常要求采用具备高速收发接口的FPGA,同时要求设计者懂得高速接口电路设计和高速数字电路板级设计,具备EMC/EMI设计知识,以及较好的模拟电路基础,需要解决在高速收发过程中产生的信号完整性问题。FPGA最初以及到目前最广的应用就是在通信领域,一方面通信领域需要高速的通信协议处理方式,另一方面通信协议随时在修改,非常不适合做成专门的芯片。因此能够灵活改变功能的FPGA就成为首选。到目前为止FPGA的一半以上的应用也是在通信行业。

第二个方向,可以称为数字信号处理方向或者数学计算方向,因为很大程度上这一方向已经大大超出了信号处理的范畴。例如早就在2006年就听说老美将FPGA用于金融数据分析,后来又见到有将FPGA用于医学数据分析的案例。在这一方向要求FPGA设计者有一定的数学功底,能够理解并改进较为复杂的数学算法,并利用FPGA内部的各种资源使之能够变为实际的运算电路。目前真正投入实用的还是在通信领域的无线信号处理、信道编解码以及图像信号处理等领域,其它领域的研究正在开展中,之所以没有大量实用的主要原因还是因为学金融的、学医学的不了解这玩意。不过最近发现欧美有很多电子工程、计算机类的博士转入到金融行业,开展金融信号处理,相信随着转入的人增加,FPGA在其它领域的数学计算功能会更好的发挥出来,而我也有意做一些这些方面的研究。不过国内学金融的、学医的恐怕连数学都很少用到,就不用说用FPGA来帮助他们完成数学_运算了,这个问题只有再议了。

第三个方向,就是所谓的SOPC方向,其实严格意义上来说这个已经在FPGA设计的范畴之外,只不过是利用FPGA这个平台搭建的一个嵌入式系统的底层硬件环境,然后设计者主要是在上面进行嵌入式软件开发而已。设计对于FPGA本身来说是相当少的。但如果涉及到需要在FPGA做专门的算法加速,实际上需要用到第二个方向的知识,而如果需要设计专用的接口电路则需要用到第一个方向的知识。

就目前SOPC方向发展其实远不如第一和第二个方向,其主要原因是因为SOPC以FPGA为主,或者是在FPGA内部的资源实现一个“软”的处理器,或者是在FPGA内部嵌入一个处理器核。但大多数的嵌入式设计却是以软件为核心,以现有的硬件发展情况来看,多数情况下的接口都已经标准化,并不需要那么大的FPGA逻辑资源去设计太过复杂的接口。而且就目前看来SOPC相关的开发工具还非常的不完善,以ARM为代表的各类嵌入式处理器开发工具早已深入人心,大多数以ARM为核心的SOC芯片提供了大多数标准的接口,大量成系列的单片机/嵌入式处理器提供了相关行业所需要的硬件加速电路,需要专门定制硬件场合确实很少。通常是在一些特种行业才会在这方面有非常迫切的需求。即使目前Xilinx将ARM的硬核加入到FPGA里面,相信目前的情况不会有太大改观,不要忘了很多老掉牙的8位单片机还在嵌入式领域混呢,嵌入式主要不是靠硬件的差异而更多的是靠软件的差异来体现价值的。我曾经看好的是cypress的Psoc这一想法。和SOPC系列不同,Psoc的思想是在SOC芯片里面去嵌入那么一小块FPGA,那这样其实可以满足嵌入式的那些微小的硬件接口差异,比如某个运用需要4个USB,而通常的处理器不会提供那么多,就可以用这么一块FPGA来提供多的USB接口。而另一种运用需要6个UART,也可以用同样的方法完成。对于嵌入式设计公司来说他们只需要备货一种芯片,就可以满足这些设计中各种微小的差异变化。其主要的差异化仍然是通过软件来完成。但目前cypress过于封闭,如果其采用ARM作为处理器内核,借助其完整的工具链。同时开放IP合作,让大量的第三方为它提供IP设计,其实是很有希望的。但目前cypress的日子怕不太好过,Psoc的思想也不知道何时能够发光。

4、数字逻辑知识是根本。

无论是FPGA的哪个方向,都离不开数字逻辑知识的支撑。FPGA说白了是一种实现数

字逻辑的方式而已。如果连最基本的数字逻辑的知识都有问题,学习FPGA的愿望只是空中楼阁而已。而这,恰恰是很多菜鸟最不愿意去面对的问题。数字逻辑是任何电子电气类专业的专业基础知识,也是必须要学好的一门课。很多人无非是学习了,考个试,完了。如果不能将数字逻辑知识烂熟于心,养成良好的设计习惯,学FPGA到最后仍然是雾里看花水中望月,始终是一场空的。以上四条只是我目前总结菜鸟们在学习FPGA时所最容易跑偏的地方,FPGA的学习其实就像学习围棋一样,学会如何在棋盘上落子很容易,成为一位高手却是难上加难。

第五篇:FPGA学习心得体会

篇一:fpga学习心得大报告

《fpga技术基础》学习报告--课程内容学习心得

姓 名:

学 号: 年级专业: 指导教师:

瞿麟 201010401128 自动化101薛小军摘要从开始学fpga到现在粗略算来的话,已经有3个多月了,就目前而言,我并不确定自己算不算高手们所说的入门了,fpga学习总结。但是不管现在的水平如何,现在就总结一下自己学习它的感受或一些认识吧。

关键词

fpga de2板 quartusii软件 verilog语言

引言

fpga是什么?fpga现状?怎样学习fpga?

fpga是现场可编程门阵列的简称,fpga的应用领域最初为通信领域,但目前,随着信息产业和微电子技术的发展,可编程逻辑嵌入式系统设计技术已经成为信息产业最热门的技术之一,应用范围遍及航空航天、医疗、通讯、网络通讯、安防、广播、汽车电子、工业、消费类市场、测量测试等多个热门领域。并随着工艺的进步和技术的发展,向更多、更广泛的应用领域扩展。越来越多的设计也开始以asic转向fpga,fpga正以各种电子产品的形式进入了我们日常生活的各个角落。

正文

(1)掌握fpga的编程语言

在学习一门技术之前我们往往从它的编程语言开始,如同学习单片机一样,我们从c语言开始入门,当掌握了c语言之后,开发单片机应用程序也就不是什么难事了。学习fpga也是如此,fpga的编程语言有两种:vhdl和verilog,这两种语言都适合用于fpga的编程。(2)fpga实验尤为重要 除了学习编程语言以外,更重要的是实践,将自己设计的程序能够在真正的fpga里运行起来,这时我们需要选一块板子进行实验,我们选择使用de2板才进行试验。初识de2开发板

de2的资源de2的资源非常丰富,包括

1.核心的fpga芯片-cyclone ii 2c35 f672c6,从名称可以看出,它包含有35千个le,在altera的芯片系列中,不算最多,但也绝对够用。altera下载控制芯片-epcs16以及usb-blaste对jtag的支持。

2.存储用的芯片有: 512-kb sram,8-mbyte sdram,4-mbyte flash memory 3.经典io配置:拥有4个按钮,18个拨动开关,18个红色发光二极管,9个绿色发光二极管,8个七段数码管,16*2字符液晶显示屏,4.超强多媒体:24位cd音质音频芯片wm8731(mic输入+linein+ 标准音频输出),视频解码芯片(支持ntsc/pal制式),带有高速dac视屏输出vga模块。5.更多标准接口:通用串行总线usb控制模块以及a、b型接口,sd card接口,irda红外模块,10/100m自适应以太网络适配器,rs-232标准串口,ps/2键盘接口 6.其他:50m,27m晶振各一个,支持外部时钟,80针带保护电路的外接io 7.此外还有:配套的光盘资料,qutuarsii软件,niosii 6.0ide,例程与说明文档。

关于管脚分配

当我们创建一个fpga用户系统的时候,到最后要做的工作就是下载,在下载之前必须根据芯片的型号分配管脚,这样才能将程序中特定功能的管脚与实际中的fpga片外硬件电路一一对应。

fpga简单的说,就是现场可编程逻辑阵列。它的内部是逻辑单元,它们之间可以用线连接,至于以怎样的形式相连,则可以根据应用者写入的逻辑决定。每次布线都会重新组合逻辑单元,从而可以任意的编写不同的逻辑。当然,前提是定义的逻辑块不超出它可读写的最大值。总结在学习fpga时,遇到的问题有许多,譬如,写代码时的警告,特别是一些不能忽视的警告,每次遇到时,总是还要检查一会儿才能改过来,或者有的警告已经出现了几次,但是就是解决不掉。每次在学一个模块时,只要是看懂了,它的一些重点就没有及时的记录在本子上,只有个别的想起来时,才会做笔记。每做完一个模块,没有及时记录下自己从这个模块中学到了什么。上面的不足,都是在写模块的过程中,自己逐渐暴露出来的。我很庆幸自己的一些问题能及时的被发现,避免类似的事情再次发生。像遇到警告时,都要记录下来,通过改正后,要注释,写下警告的原因,定期看一下。每次写模块的时候,都要记下重点知识,即使是自己懂得的,好记性都是比不过烂笔头的。

关于以上的总结,我相信在以后的学习中一定会对自己有莫大的帮助,它会时刻警醒自己,在以前的学习中,自己有哪些不足,以后千万不能再去犯同样的错误,不断地纠正,不断地进步,相信自己一定会学好fpga的。篇二:fpga学习心得

回想起自己学fpga,已经有一段时间了,从开始的茫然,到后来的疯狂看书,设计开发板,调电路,练习各种fpga实例,到最后能独立完成项目,一路走来,感受颇多,拿出来和大家分享,顺便介绍下自己的一点经验所得,希望对初学者有所帮助。废话不说了,下面进入正题,学习fpga我主要经历了这么几个阶段:

①、verilog语言的学习,熟悉verilog语言的各种语法。

②、fpga的学习,熟悉quartusii软件的各种功能,各种逻辑算法设计,接口模块(rs232,lcd,vga,spi,i2c等)的设计,时序分析,硬件优化等,自己开始设计简单的fpga板子。③、niosii的学习,熟悉niosii的开发流程,熟悉开发软件(sopc,niosii ide),了解niosii的基本结构,设计niosii开发板,编写niosii c语言程序,调试板子各模块功能。先来说说第一个阶段,现在主要的硬件描述语言有vhdl,verilog两种,在本科时老师一般教vhdl,不过现在

verilog用的人越来越多,其更容易上手(与c语言语法比较类似),也更灵活,现在的ic设计基本都用verilog。像systemc,systemverilog之类的应该还在萌芽阶段,以后可能会有较大发展。鉴于以上原因我选择了verilog作为我学习的硬件描述语言。

其实有c语言的基础,学起verilog的语言很简单,关键要有并行的概念,所有的module,assign,always都是并行的,这一点与软件语言有明显不同。这里推荐几本评价比较好的学习verilog的书籍: ①、《verilog 数字系统设计教程》,这本书对于入门是一本很好的书,通俗易懂,让人很快上手,它里面的例子也不错。但本书对于资源优化方面的编程没有多少涉及到。②、《设计与验证verilog hdl》,这本书虽然比较薄,但是相当精辟,讲解的也很深入,很多概念看了这本书有种豁然开朗的感觉,呵呵。

学习verilog其实不用看很多书,基本的语法部分大家都一样,关键是要自己会灵活应用,多做练习。

verilog语言学了一段时间,感觉自己可以编点东西,希望自己编的程序在板子上运行看看结果,下面就介绍我学习的第二个阶段。

刚开始我拿了实验室一块cpld的开发板做练习,熟悉quartusii的各种功能,比如ip的调用,各种约束设置,时序分析,logiclock设计方法等,不过做到后面发现cpld的资源不太够(没有内嵌的ram、不能用signaltapii,le太少等),而实验室没有fpga开发板,所以就萌生了自己做fpga开发板的意图,刚好cadence我也学的差不多了,就花了几天时间主要研究了fpga配置电路的设计,在板子上做了jtag和as下载口,在做了几个用户按键和led,其他的口全部引出作为io口,电路比较简单,板子焊好后一调就通了(心里那个爽啊...)。我选的fpga是cycloneii系列的ep2c5,资源比以前的fpga多了好几倍,还有pll,内嵌的ram,可以试试signaltapii,用内嵌的逻辑分析仪测试引脚波形,对于fpga的调试,逻辑分析仪是至关重要的。利用这块板子我完成了项目中的几个主要功能:rs232通信,指令译码,配置dds,ad数据高速缓存,电子开关状态设置等,在实践中学习起来真的比平时快很多,用到什么学什么动力更大。这个时候我主要看的数据有这几本感觉比较好: ①、《altera fpga/cpld 设计(基础篇)》:讲解一些基本的fpga设计技术,以及quartusii中各个工具的用法(ip,rtl,signalprobe,signaltapii,timing closure floorplan,chip editor等),对于入门非常好。②、《altera fpga/cpld 设计(高级篇)》:讲解了一些高级工具的应用,logiclock,时序约束很分析,设计优化,也讲述了一些硬件编程的思想,作为提高用。③、《fpga设计指南--器件,工具和流程》:这本书看了他的目录忍不住就买了,这本书讲述了fpga设计的各个方面,虽然每个方面都是点到为止,但能让你有个整体的概念,了解fpga的所有设计功能,了解fpga开发的整个流程。

④、在这里也推荐几个学习fpga比较好的论坛,不过很多人一看到英语就不想看,其实上面的英文很简单,很多时候不敢看是因为对自己没信心或心静不下来看。不过官方网站上资料很多,刚开始可能会觉得资料安排的有点乱,不方便查找,以后有时间我列个资料的链接目录,整理一下,方便大家查找。

到这里,自己最fpga的学习有一段时间了,练习了很多实例,自己也编写了不少程序,也有了一些项目经验,算是对fpga有些了解了。在不断的学习中发现fpga不仅可以做逻辑设计,算法设计等,还能做嵌入式开发,altera先后开发了nios,niosii两款fpga的嵌入式软核,并有配套的软件,刚开始看到这些我真是心中突然豁然开朗,学习真的是无止境,又一个全新的领域摆在我面前,我决定学习niosii,要学就要学最好。

刚开始入门是很痛苦的,嵌入式设计需要从硬件到驱动到软件全部熟悉,硬件系统问题还不是很大(以前做过单片机,dsp等mcu),处理器的架构心里还有点数,对于驱动和软件工程,刚开始学习真的很头痛。niosii应该还算比较新的内容(应该是2004年出的),国内的书籍不算很多,网上这方面的资料也比较零碎,所以我就开始将altera网站上这方面的资料系统的看一边,这里推荐几本网站上的handbook: ①、embedded design handbook ②、nios ii processor reference handbook ③、nios ii software developers handbook ④、quartus ii handbook, volume 4: sopc builder ⑤、quartus ii handbook, volume 5: embedded peripherals 看完这些handbook,总算基本明白整个架构,软硬件设计方法,驱动的编写等,感觉自己可以编一些嵌入式的程序了,不过虽然前面做的那块ep2c5的板子支持niosii系统,不过对于嵌入式设计来说还是显得单薄了一点,没有sdram,flash这两个比较基本的模块,ep2c5内嵌的ram太小,程序写不大,而且每次总要绞尽脑汁优化程序代码大小,很多时候优化了后函数功能会受到限制,不利于初学者,也不利于调试。所以到这里我有产生了自己做一块nios开发板的想法(直接买比较贵,自己做便宜,而且还能锻炼自己,一举两得),通过借鉴其他开发板,选择自己开发板上需要包含什么模块,确定各个模块使用什么芯片,阅读各个芯片的datasheet,画出原理图并做出pcb图,这块板子我选的是ep2c8q208,比上一块资源又将近多了一倍,板子上还有以下模块:sdram,flash,epcs4,rs232,usb,vga,ps2,ad,da,lcd等,满足了一般开发板的配置要求。板子回来以后调试了四五天,(flash工作了,lcd显示了,rs232通了,usb通了,ad,da工作了,sdram正常了...),真是每天都有惊喜,每个模块都编写了niosii软件测试程序,调试硬件的时候对软件的运行也更熟悉了。在这次调试的过程中真的学到了很多,为此专门写了好几页调试笔记,下次拿出来和大家一起分享。现在硬件平台有了,niosii也了解的差不多了,终于可以自己编写一些规模大一点的程序了。学fpga的一点心得

从去年开始学fpga,以前一直做dsp,但因为项目的需要,在一个应用中只有fpga才能解决问题,所以硬着头皮上fpga,又因为结项目的时间比较紧,所以主要以解决问题为目的,说真的,项目做下来写了四千多行的代码,达到了设计目标,但对fpga学习还不够系统,也正进一步的学习中.因为我是单干,没有团队,遇到问题只能在网上找资料,其难度可想而知,幸好以前用过cpld,有一点基础,用的编程语言是ahdl,感觉ahdl写一点小程序还可以,但做复杂程序就有点费力了(应该是我的ahdl没学好),所以在做fpga程序时我选择了比较简单的 verilog语言。

由于没人指导,所以买了一个简单的fpga开发板,是cyclone的,开发板就是好,送了较多学习资料,用了一个星期的时间,学习了niosii的基本应用,当然,我的需要就是知道如何在nios里控制一个信号的高低电平变化就够了,其它全是c语言的东西,主要是熟悉了quartus的开发平台,并用nios程序把要实现的主要代码用c写了一遍,通过了,但速 度上不去。有这些知识做铺垫后,就开始了项目的设计。项目要求将外部高速数据(40mb/s)进行存盘,并可以将存盘的数据在适当的时候原路返回(速度不变)。所以在硬件的设计上fpga选择了ep2c35f484c8n,外部有128m的sdram做为数据的缓冲。所以fpga的主要程序集中在atahost控制器(实现udma读写)、sdram控制器(大容量循环缓冲)上,当然还包括一些其它的控制,如实时时钟,usb2.0芯片控制,rs232的通信及相应的通信协议。

硬件完成后,大部分的时间都在写程序,感觉verilog跟c差不多,就将原来写成的c代码翻译了一下,每写一段代码就测试一下。起初并不知道在quartus里还有逻辑分析仪的功能,所以程序出了问题只能使劲的分析代码,猜想问题可能出在哪里,万不得已时才做一下仿真(后来看很多有做程序都先做仿真,仿真通过后再下载到目标板里运行测试,但到现在我的仿真关还没过),所以做得很累。我感到这样做下去到时间结点肯定结了不了项目,就找fpga的qq群向高手们请教经验,知道了可以quartus的逻辑分析仪来观察信号,哈哈,爽了(感谢感谢)。后面的程序找问题就容易多了,按照ata协议、sdram手册、及各外围芯片手册一步步写下去,当然其中也遇到了各种各样的问题,但很快得到解决。一直到 项目结束,都是用逻辑分析仪来找问题,没做过仿真(所以现在仿真关还没过)。今年又用fpga做了一些项目。简单说一下体会吧,归结起来就三个字:做、想、问。书读千遍,不如做一遍;看别人做百次,不如自己做一次;就是要实践。实践的动力一方面来自兴趣,别一方面来自己压力,我个人觉得后者更重要。有需求会容易形成压力,也就是说最好能在实际的项目开发中锻炼,而不是为了学习而学习。在做的过程中要多想,多想想问题出现的原因,问题解决后要多问几个为什么,这也是经验积累的过程,最好要写项目日志,把问题及原因、解决的办法都写进去。还要多问,遇到问题经历了痛苦的思索后还得不到解决就要问了,问搜索引擎,问网友,问同学同行,一篇文章、朋友们的点拨都可能帮助 自己快速解决问题。

fpga入门心得及系列资料

不知道为什么自己每次接受一个新事物,总要花上那么长的一段时间。每个东西,总要经过从一点都不了解,到有点了知道,到最后才想知道要来学学,但每次学习时也总是走马观花。可能真的受中国的教育思想束缚的太严重了吧。以前的人读书,好像也没有什么目标,只是知道上课要认真听,考试要考好。这样就可以了。也从来没想过,我学这个到底有什么用呢。但是上了大学后,一切都改变了。我们的学习也不再是为了考试而考试了。尤其是像我们学电子,假如仅仅是为考试的话,那你的大学完全可以过得很轻松,整天睡觉,游戏,照样可以考高分。那样毕业了,你说你的大学学习是不是等于0。到头来还是什么也不是。所以当你进入大学,当你选择了电子,就决定了你从此要为之付出。不管将来怎样,只要你朝着自己的方向走,一定会成功的。

现在说说fpga的学习吧,或许,很多人刚接触fpga时,可能还在为到底什么是cpld,什么时fpga,我到底要学习哪门语言而苦恼,不知大家是不是这样,但是我曾经就是这样,也到网上去找过,最后也终于找到了答案。因为那时身边的人都还没学过。其实cpld,fpga最大的区别也就是fpga的程序必须由配置芯片经过上电后,将程序写入芯片中,也就是fpga的内部存储器是相当于内存一样,一掉电就没了。而cpld是像单片机一样,程序是存储存在内部中的,一上电就可以跑了。二者的功能差不多,但是fpga的资源比cpld更加丰富。在cpld上可以实现的功能,在fpga上基本都可以实现的。所以也不必去想太多什么是fpga,cpld了,只要弄懂了二者的内部结构就可以了。

至于学什么语言吗,首先要看你们在校老师上课是用什么语言,就先那个语言吧,我们学校老师是用vhdl语言的,所以一开始我也是先学vhdl语言,而且学习资料比较多,但是在网上看到,好像公司里面用的比较多的是verilog hdl语言,为了能看懂一些资料,现在也在学verilog hdl语言,学习中发现,要是学过c语言的人,会发现verilog hdl好像更加好理解。

一旦你开始了学习fpga,那就要坚持下去,因为语言这东西,要是不一下子把它强记住,过不了两天就又忘了,书本的东西,一定要越快消化越好,这样你看别人的程序时就不会有什么语言障碍了。之后就是编写程序,模仿别人的模块,仿真实验,这步很重要,要不你就不会发现fpga功能的强大,你也不知道到底仿真的结果和实际是不是有差别。当你成功时,你一定会感到成功的喜悦。

当你基本的程序都看得懂时,有完整地编个程序,而且在硬件上实验过时,那么祝贺你入门了,之后的道路就是不断的实践,跟学习单片机一样,只有不断的学习,不断的做东西,你的编程能力才会提高。

以上仅仅为作者本人的一点观点,本人现在还是一个电子白菜,只是苦于自己学习电子找不到捷径,不想还有更多的人跟我一样,在电子的道路中不断摸索,不断徘徊。

看过一个高手对fpga的认识,自己对fpga未来的发展更是看好,鉴定了学好的决心,fpga在电子开发工作中已经上升到数字系统核心处理器,尽快掌握fpga开发技术显得非常迫切。总结了一下: 传统的开发方式:一半固定,硬件cpu是不可编程的,另一半灵活是可编程的软件

fpga新开发形式:两个部分都是可编程了,fpga,它代表的就是硬件的编程。这两部分都可编程的一个结合点就是fpga上的软核。另外可以根据需要通过外部单片机把合适的应用bit流写入到fpga内,从而完成根据功能需要变更硬件。硬件可重构!

使计算机的能力越来越强,方法一:通过提高工艺来提高工作频率;方法二:通过优化系统体系,并行!

新学习思想: fpga设计有点象围棋:易学难精 带着问题学习是最有效率的

时序性能的调整提高是fpga开发能力的标志

多看书,但要注意,书当做字典,不是所有地方都去看。

目前,在fpga上有三种类型开发方法和应用方向:a、逻辑类应用 b、软核类应用 c、dsp类应用。逻辑类应用我们接触的最早,也是fpga最初的应用领域,大的应用上,一些数字ic设计可以在fpga做前期的功能验证,在通信领域,fpga做信号的编解码等等,小的应用上我们做的最多的实际是cpld,完成信号的变换控制等等。软核应用是前几年才兴起,现在热门的开发应用方法,在原本需要fpga结合cpu的地方有成本和灵活性优势。

fpga的dsp应用是非常有潜力的,性能优势非常明显。开发方法是用matlab的simulink中嵌入厂商的开发工具包,算法验证在matlab simulink工具下完成,在开发工具包的支持下生成hdl模块或者直接生成fpga下载配置文件,这个方向是fpga应用最有挑战能力领域。mathworks公司不久前也推出了独立于fpga厂商的simulink hdl coder工具,使的matlab在数字系统设计领域迈出了坚实的一步,把simulink 模型和stateflow框图生成位真(bit-ture)、周期精确(cycle-accurate)、可综合的verilog和vhdl代码,为matlab simulink用户提供了通往fpga设计实现的直接通道。篇三:fpga经验总结(精华)fpga/cpld数字电路设计经验分享

关键词:fpga 数字电路 时序 时延路径 建立时间 保持时间 1 数字电路设计中的几个基本概念: 1.1 建立时间和保持时间:

建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时 间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间(hold time)是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间,如果保持时间不够,数据同样不能被打入触发器。如图1。数据稳定传输必须满足建立和保持时间的要求,当然在一些情况下,建立时间和保持时间的值可以为零。pld/fpga开发软件可以自动计算两个相关输入的建立和保持时间(如图2)图1 建立时间和保持时间关系图 注:

? 在考虑建立保持时间时,应该考虑时钟树向后偏斜的情况,在考虑建立时间时应该 考虑时钟树向前偏斜的情况。在进行后仿真时,最大延迟用来检查建立时间,最小 ? 延时用来检查保持时间。建立时间的约束和时钟周期有关,当系统在高频时钟下无法工作时,降低时钟频率

就可以使系统完成工作。保持时间是一个和时钟周期无关的参数,如果设计不合理,使得布局布线工具无法布出高质量的时钟树,那么无论如何调整时钟频率也无法达 到要求,只有对所设计系统作较大改动才有可能正常工作,导致设计效率大大降低。因此合理的设计系统的时序是提高设计质量的关键。在可编程器件中,时钟树的偏 斜几乎可以不考虑,因此保持时间通常都是满足的。1.2 fpga中的竞争和冒险现象

信号在fpga器件内部通过连线和逻辑单元时,都有一定的延时。延时的大小与连线的 长短和逻辑单元的数目有关,同时还受器件的制造工艺、工作电压、温度等条件的影响。信号的高低电平转换也需要一定的过渡时间。由于存在这两方面因素,多路信号的电平值发生变化时,在信号变化的瞬间,组合逻辑的输出有先后顺序,并不是同时变化,往往会出现一些不正确的尖峰信号,这些尖峰信号称为毛刺。如果一个组合逻辑电路中有毛刺出现,就说明该电路存在冒险。(与分立元件不同,由于pld内部不存在寄生电容电感,这些毛刺将被完整的保留并向下一级传递,因此毛刺现象在pld、fpga设计中尤为突出)图2是一个逻辑冒险的例子,从图3的仿真波形可以看出,a、b、c、d四个输入信号经过布线延时以后,高低电平变换不是同时发生的,这导致输出信号out出现了毛刺。(我们无法保证所有连线的长度一致,所以即使四个输入信号在输入端同时变化,但经过pld内部的走线,到达或门的时间也是不一样的,毛刺必然产生)。可以概括的讲,只要输入信号同时变化,(经过内部走线)组合逻辑必将产生毛刺。将它们的输出直接连接到时钟输入端、清零或置位端口的设计方法是错误的,这可能会导致严重的后果。所以我们必须检查设计中所有时钟、清零和置位等对毛刺敏感的输入端口,确保输入不会含有任何毛刺 图2 存在逻辑冒险的电路示例 图3 图2所示电路的仿真波形

冒险往往会影响到逻辑电路的稳定性。时钟端口、清零和置位端口对毛刺信号十分敏

感,任何一点毛刺都可能会使系统出错,因此判断逻辑电路中是否存在冒险以及如何避免冒险是设计人员必须要考虑的问题。如何处理毛刺

我们可以通过改变设计,破坏毛刺产生的条件,来减少毛刺的发生。例如,在数字电

路设计中,常常采用格雷码计数器取代普通的二进制计数器,这是因为格雷码计数器的输出每次只有一位跳变,消除了竞争冒险的发生条件,避免了毛刺的产生。

毛刺并不是对所有的输入都有危害,例如d触发器的d输入端,只要毛刺不出现在时 钟的上升沿并且满足数据的建立和保持时间,就不会对系统造成危害,我们可以说d触发 器的d输入端对毛刺不敏感。根据这个特性,我们应当在系统中尽可能采用同步电路,这是因为同步电路信号的变化都发生在时钟沿,只要毛刺不出现在时钟的沿口并且不满足数据的建立和保持时间,就不会对系统造成危害。(由于毛刺很短,多为几纳秒,基本上都不可能满足数据的建立和保持时间)

去除毛刺的一种常见的方法是利用d触发器的d输入端对毛刺信号不敏感的特点,在输出信号的保持时间内,用触发器读取组合逻辑的输出信号,这种方法类似于将异步电路转化为同步电路。图4给出了这种方法的示范电路,图5是仿真波形。图4 消除毛刺信号方法之二 图5 图4所示电路的仿真波形

如前所述,优秀的设计方案,如采用格雷码计数器,同步电路等,可以大大减少毛刺,但它并不能完全消除毛刺。毛刺并不是对所有输入都有危害,例如d触发器的d输入端,只要毛刺不出现在时钟的上升沿并且满足数据的建立和保持时间,就不会对系统造成危害。因此我们可以说d触发器的d输入端对毛刺不敏感。但对于d触发器的时钟端,置位端,清零端,则都是对毛刺敏感的输入端,任何一点毛刺就会使系统出错,但只要认真处理,我们可以把危害降到最低直至消除。下面我们就对几种具体的信号进行探讨。1.3 清除和置位信号

在fpga的设计中,全局的清零和置位信号必须经过全局的清零和置位管脚输入,因为 他们也属于全局的资源,其扇出能力大,而且在fpga内部是直接连接到所有的触发器的置位和清零端的,这样的做法会使芯片的工作可靠、性能稳定,而使用普通的io脚则不能保证该性能。在fpga的设计中,除了从外部管脚引入的全局清除和置位信号外在fpga内部逻辑的 处理中也经常需要产生一些内部的清除或置位信号。清除和置位信号要求象对待时钟那样小心地考虑它们,因为这些信号对毛刺也是非常敏感的。

在同步电路设计中,有时候可以用同步置位的办法来替代异步清0。在用硬件描述语言 的设计中可以用如下的方式来描述: 异步清0的描述方法: process(rst,clk)begin if rst=’1’ then count<=(others=>’0’);elsif clk’event and clk=’1’ then count<=count+1;end if;end process;同步清0的描述方法: process beginwait until clk’event and clk=’1’;if rst=’1’ then count<=(others=>’0’);else count<=count+1;end if;end process;图6 异步清0、置位逻辑图

图7 同步清0、置位关系图1.4 触发器和锁存器:

我们知道,触发器是在时钟的沿进行数据的锁存的,而锁存器是用电平使能来锁存数 据的。所以触发器的q输出端在每一个时钟沿都会被更新,而锁存器只能在使能电平有效 器件才会被更新。在fpga设计中建议如果不是必须那么应该尽量使用触发器而不是锁存器。那么在使用硬件描述语言进行电路设计的时候如何区分触发器和锁存器的描述方法

哪?其实有不少人在使用的过程中可能并没有特意区分过,所以也忽略了二者在描述方法上的区别。下面是用vhdl语言描述的触发器和锁存器以及综合器产生的电路逻辑图。触发器的语言描述: process begin wait until clk’event and clk=’1’;q<=d;end process;图 触发器

锁存器的语言描述:

process(en,d)beginif en=’1’ then q<=d;end if;end process;

下载FPGA,学习心得体会word格式文档
下载FPGA,学习心得体会.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    FPGA学习经验总结

    FPGA是什么?FPGA现状?怎样学习FPGA? FPGA是什么? FPGA是什么?FPGA现状?怎样学习FPGA? FPGA是什么介绍 FPGA是现场可编程门阵列的简称,FPGA的应用领域最初为通信领域,但目前,随着信息产......

    FPGA学习步骤

    FPGA学习步骤,我的体会 FPGA学习步骤,我的体会 FPGA在目前应用领域非常,在目前的单板设计里面,几乎都可以看到它的身影。从简单的逻辑组合,到高端的图像、通信协议处理,从单片逻辑......

    FPGA学习概述

    FPGA学习 我常年担任多个有关FPGA学习研讨的QQ群管理员,长期以来很多新入群的菜鸟们总是在重复的问一些非常简单但是又让新手困惑不解的问题。作为管理员经常要给这些菜鸟们......

    FPGA学习步骤及其前景

    第一部分 FPGA在目前应用领域非常,在目前的单板设计里面,几乎都可以看到它的身影。从简单的逻辑组合,到高端的图像、通信协议处理,从单片逻辑到复杂的ASIC原型验证,从小家电到航......

    FPGA学习的一些误区

    FPGA本身不算什么,一切皆在FPGA之外这一点恐怕也是很多学FPGA的菜鸟最难理解的地方。FPGA是给谁用的?很多学校解释为给学微电子专业或者集成电路设计专业的学生用的,其实这不过......

    学习FPGA的几点心得五篇

    1、首先要明白的是软件设计和逻辑设计的不同,并理解什么是硬件意识。 软件代码的执行是一个顺序的过程,编绎以后的机器码放在存储器里,等着CPU一条一条的取指并执行;因此软件设......

    FPGA常用术语

    标题:FPGA常用术语 2010-05-13 11:16:29 FPGA常用术语1:LCA(Logic Cell Array):逻辑单元阵列,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Ou......

    FPGA学习心得

    回想起自己学FPGA,已经有一段时间了,从开始的茫然,到后来的疯狂看书,设计开发板,调电路,练习各种FPGA实例,到最后能独立完成项目,一路走来,感受颇多,拿出来和大家分享,顺便介绍下自己的......