六路抢答器实验报告

时间:2019-05-11 23:12:10下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《六路抢答器实验报告》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《六路抢答器实验报告》。

第一篇:六路抢答器实验报告

六路抢答器设计报告

班级:自动化111 姓名:艾琪 学号:35

一、设计要求

(1)抢答器最多可供6队(名)选手参赛抢答,队手分别为1~6,各队分别有一个抢答控制按钮,和一个抢答成功时点亮指示灯(发光二级管)。

(2)主持人通过按钮控制系统清零和抢答开始,系统清零后数码管应无显示。(3)有抢答信号输入时,数码管显示出相对应的对号,同时该队的指示灯点亮。此时,其他队再按抢答器按钮均无效。

(4)抢答器具有队友锁存功能,数码管保持显示队友,蜂鸣器在有抢答信号输入后发出2秒左右的声响。

二、模块设计

1、抢答器模块

抢答器模块仿真图

抢答器采用的芯片是CD4511;因为CD4511是一个用于驱动共阴极 LED(数码管)显示器的 BCD 码—七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。在这里我们主要用到的是锁存功能和译码功能。

4511引脚 BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入 DCBA 状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。LE:锁定控制端,当LE=0时,允许译码输出。

LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。

A1、A2、A3、A4、为8421BCD码输入端。a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效,CD4511的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻就可工作。

锁存功能

译码器的锁存电路由传输门和反相器组成,传输门的导通或截止由控制端LE的电平状态。

当LE为“0”电平导通,TG2截止;当LE为“1”电平时,TG1截止,TG2导通,此时有锁存作用。

译码功能

CD4511译码用两级或非门担任,为了简化线路,先用二输入端与非门对输入数 据B、C进行组合,得出、、、四项,然后将输入的数据A、D一起用或 非门译码。

2、定时报警模块

定时器模块仿真

这里需要定时报警所以我们选择555定时器,并在后面加上蜂鸣器。

555引脚 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1 的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为 1,可将RS 触发器置 0,使输出为 0 电平。当5脚有输入电平Vco时,则电压比较强C1的同相输入端的电压为Vco,C2的反相输入端的电压为Vco/2。若触发输入端TR的电压小于Vco/2,则比较器C2的输出为0,可使RS触发器置1,输出端OUT=1。如果阈值输入端TH的电压大于Vco,同时,TR端的电压大于Vco/2,则C1的输出为0,C2的输出为1,可使RS触发器置0,输出为0电平报警时间设定(R1+2R2)C㏑2=2S

如上图所示利用三极管来控制发光二极管和蜂鸣器的高低电平当高平时蜂鸣器响,二极管亮。三、六路抢答器仿真

六路抢答器仿真图

按照总体电路图在仿真软件proteus上一一选择芯片并进行连接,然后启动开关观察。下面,我们对设计出的电路进行proteus仿真。我们将各部分电路在proteus上连接好后,为各个开关设置好适当的键盘打开数值(例如,为某一开关设为1连接,则启动proteus仿真按钮后,在键盘上按1则此开关就由断开状态变为连接状态)然后打开proteus的开关,即可根据显示器上显示的数字情况来判断电路设计是否成功。四、六路抢答器实物制作

在proteus仿真成功之后我们就可以进行六路抢答器的实物制作了。实验器材:按键7个、二极管15个、电阻10KΩ6个、47 KΩ一个、51 KΩ一个、300Ω7个、100 KΩ2个、电容0.1uF、10 uF、CD4511、555、7段数码管

六路抢答器实物

五、心得体会

在设计时我们要充分了解设计目的,分成各个模块,再对模块进行分析,看大概使用那些元器件,进行仿真,选用一个最简单,容易焊接的一个,再把各个模块合在一起。

在制作实物时我们要特别注意管脚与管脚之间的对应连接,由于此次的器材限制,在定时报警模块中3个二输入与门我们用四个三极管代替,一个非门,我们用六个非门集成的,用其中四个管脚,1输入,2输出,14VCC,7GND。通过此次六路抢答器的设计发现我们所学并不是离开了实际,一些看起来简简单单的东西其实还是很复杂的。

在这次动手里更加充分的理解了数电所学知识,尤其是4511和555的工作原理与用途。

第二篇:八路抢答器实验报告

数字电子设计课程设计

八路智力竞赛抢答器设计

一.实验目的

掌握抢答器的工作原理及其设计方法。

学会用Multisim8软件操作实验内容。

掌握设计性试验的实验方法

二.实验要求

八路智力竞赛抢答器功能要求:

基本功能:

1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是0、1、2、3、4、5、6、7。

2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。

扩展功能:

1.抢答器具有定时抢答的功能。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。

2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。

3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00.三.实验原理

根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮

数字电子设计课程设计

时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答及报警功能。

比赛开始时,接通电源,节目主持人将开关置于“清零”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器上显示设定时间。当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,抢答器处于工作状态,定时器开始倒计时。若定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。若选手在定时时间内按动抢答按钮时,抢答器要完成以下四项工作:1.优先编码器电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号; 2.扬声器发出短暂声响,提醒节目主持人注意; 3.控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答; 4.控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕时,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。

上述方案所示抢答器的工作过程:主持人按动开始抢答的开关后,最先抢答的选手的电平信号先经过优先编码器,再依次经过数据锁存器,此时已经限制了其他选手的抢答,信号再经过译码器和七段数码显示器,将最先抢答的该选手的编号显示出来,并同时产生报警信号,到此完成的是抢答功能;如果没有人抢答,30秒减计数器减到00时也会发出报警信号,此时完成计时功能。

数字电子设计课程设计

它的优点表现在以下几个方面:这种方案原理比较简单。主持人对整体电路的控制只需几个门电路就可完成,不必用特别的芯片来组成控制电路;更容易实现报警提示功能,在有选手抢答后或者计时开始和结束时。既减少了布线使整个电路更直观简单,又降低了产生错误的可能性。

四.实验器材

元器件:74LS48,72LS192,74LS279、74LS148、74LS00、74LS08、74LS32、NE555、CC4511各几个,数码管三个,发光二极管一个,开关、电阻、电容若干

仿 真:Multisim8仿真软件

五.实验步骤

1.抢答电路设计

抢答电路的功能有两个:一是能分辨出选手按按钮的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按钮操作无效。因此,选用优先编码器74LS148和RS锁存器74LS279以及译码显示电路完成上述功能。

74LS148是一八线-三线优先编码器,该编码器由8个信号输入端,3个二进制输出端,输入输出均为低电平有效。EI为输入使能端,低电平有效,当EI为低电平时,编码器处于工作状态;EO为输出使能端,只有在EI=0,且所有输入都为1时,输出为0;GS表征编码器的工作状态,当且仅当EI为低电平,且输入至少有一各为有效电平时,GS才有效。因此,可根据EI、EO、GS功能扩展端的特点,对电路进行相应控制。编码器在抢答电路中功能是判断抢答者的编号。

74LS279是由4个RS锁存器组成,且均为与非门构成的RS锁存器。其中,1S和3S有两个输入端,S1和S2均为相与的关系。本设计中,将S2均接高电平,仅利用S1控制输出。其引脚图如图四所示,下表为SR锁存器的功能真值表,值得注意的是S和R不能同时为高电平,否则输出不确定。

74LS48为七段显示译码器。该集成译码器设有多个辅助控制端,以增强器件的功能。BI/RBO为灭灯输入,当BI=0时,所有字形熄灭。LT为试灯输入,当LT=0且RBO=1时,显示字形为8,常用于检测自身的好坏。RBI为动态灭灯输入,当LT=1,RBI=0且输入均为0时,输出均为低电平,数码管“灭零”。

译码器74LS48输出高电平有效,用以驱动共阴极数码管。七段显示译码器一般与七段数码显示器相连,共同构成四输入端的数码显示电路。

数字电子设计课程设计

2.定时电路设计

设计中选用十进制同步加/减计数器74LS192进行设计,74LS192是具有置数和清零功能,其引脚图和逻辑图如图1所示,真值表如表5所示。图1 74LS192引脚图和逻辑图

P0、P1、P2、P3——置数并行数据输入; Q0、Q1、Q2、Q3——计数数据输出; CR————————清零端; LD————————置数端;

CPu ———————加法计数CP输入; CPd ———————减法计数CP输入; CO————————进位输出端; BO————————借位输出端。

根据设计要求,需要两片74LS192构成100进制减计数器。由功能真值表可知,只需将个位74LS192的借位输出端BO与十位74LS192的CPd即可实现100进制减计数。值得注意的是,要使其实现减计数,CPu端口必须接高电平。

计数器的时钟脉冲由秒脉冲电路提供。秒脉冲电路由555构成的多谐振荡器构成,如图2所示,谐振荡器无需外加输入信号就能在接通电源自行产生矩形波输出。

数字电子设计课程设计

图2多谐振荡器

因为周期为一秒,所以频率是1赫兹。图中电容的充放电时间分别是: t1=RB×C×ln2≈0.7RB×C t2=(RA+RB)×C×ln2≈0.7(RA+RB)C 所以555的3端输出的频率为: f=1/(t1+t2)≈1.43/[(2RA+RB)C] 我们采用的电阻和电容值分别是:RA=15KΩ,R2=68KΩ,C1=10uf,满足上式,即得到的是秒脉冲。

由以上集成芯片设计的定时电路如下图所示。

工作原理: 555构成秒脉冲产生电路为计时电路提供脉冲。抢答开始前主持人闭合开关,74LS192的置数端PL为低电平有效,处于置数状态,数码管显示定时时间。抢答开始,主持人打开开关,计数器处于计数状态,555产生的秒脉冲与十位74LS192借位输出端(其初始状态为高电平)相与。计数器递减计数至00,十位74LS192借位输出端为低电平,计数器停止工作,产生报警。计时期间有人抢答,减计数器停止计时,显示器上显示此刻时间。

3.时序控制电路设计

时序控制电路是抢答器设计的关键,需要完成以下三项功能:

a.主持人将控制开关拨到“开始”位置时,抢答电路和定时电路进入正常抢

数字电子设计课程设计

答工作状态。

b.当竞赛选手按动抢答键时,抢答电路和定时电路停止工作。c.当设定的抢答时间到,无人抢答时抢答电路和定时电路停止工作。

4.抢答器整体电路

通过控制电路将抢答、定时电路进行连接后,构成了抢答器电路的整体设计,总电路图如图17所示:

主电路:

数字电子设计课程设计

六,实验结论

1.主持人将开关拨到开始的位置,抢答电路和定时电路进入正常抢答状态。2.在30秒内当选手按动抢答键,抢答电路和定时电路停止工作,同时数码管显示相应选手的编号。

3.如果在30秒内无人抢答,抢答电路和定时电路停止工作,选手再按抢答键,将不会显示编号,同时定时电路显示00.七.实验小结

通过本次课程设计,不仅有效巩固了本学期所学数电的相关知识,加强了对重要知识点的记忆和理解,还学会如何运用Multisim8仿真进行仿真,以及如何使用面包板进行实物制作,受益匪浅,现总结如下。

本设计的难点在于时序控制电路的设计,如何在第一位抢答者抢答题目后让编码器停止工作;如何使计时电路在抢答后停止倒计时;如何让定时电路和抢答电路同时清零。设计过程中,根据以往抢答器设计思路,及查阅相关资料,可运用74LS279的输出1Q完成上述控制任务。从这一点,折射出自己在平时的学习中较死板,缺乏变通思考的能力。

在电路仿真的过程中,由于Multisim8操作相对较简单,因此在仿真过程中较为顺利。但仿真软件的操作环境比较理想化,实物操作时会受到部分因素的干扰,例如在仿真电路中电路完全没有问题,但当焊接好实物后,定时电路与抢答电路单独运行都没有问题,但互相反馈时互相干扰。最终通过与互相讨论,请教老师,解决了问题。

通过本次实践操作,也让我深刻明白:只有将课本上的理论知识,结合实践不断练习,不断总结提炼,反复思考实践中的经验教训,才能够真正消化为自己的知识。

第三篇:八路抢答器实验报告

八路抢答器的实验报告

一、设计题目

八路抢答器设计

二、设计要求

1.设计一个竞赛抢答器,可同时供8名选手或者8个代表队参加比赛,他们的编号分别是1、2、3、4、5、6、7、8,各用一个抢答器的按钮,按钮的编号与选手的编号相对应。

2.给节目主持人设计一个控制开关,用来控制系统的清零和抢答开始。3.抢答器具有数据锁存、显示的功能和声音提示功能。抢答开始后,若有选手按动按钮,编号立即锁存,在数码管上显示选手的编号,并有声音提示。此外,要封锁其他选手抢答。优先抢答的选手的编号一致保持到主持人将系统清零为止。

三、设计思路

工作原理为:

1.接通电源前,抢答按钮与清零按钮都未按下。接通电源后,主持人清除开关处于工作状态,抢答器处于工作状态,编号显示器显示为0,蜂鸣器未鸣响(为方便控制,电路设计为清除开关按下时是清零状态,未按下时为工作状态)。等一轮抢答完成后(七段数码管显示出优先抢答队员编号,并蜂鸣器鸣响),主持人将清零开关按下数码管清为零,蜂鸣器停止鸣响。然后先后把各队员抢答按钮与主持人清零按钮复位。即可进入下一轮抢答。

原理为:电路中清零按钮控制D触发器集成块74LS175清零端低电平输入,按下时清零端输入为低电平(清零端低电平有效),未按下时输入高电平。清零后D触发器集成块74LS175 Q`端输出全变为高电平,使编码器74HC147(有效输入电平为低电平)无有效低电平输入,七段数码管上显示为0。

2.抢答时,队员按下抢答按钮的时间有先后次序,电路中每个抢答按钮连接一个D触发器,当一抢答按钮按下后其对应的触发器锁存住信号,Q`端输出有效低电平,同时通过反馈电路使D触发器集成块得脉冲信号终止输入,从而使其他D触发器停止工作,抢答后也无法锁存。达到有先输入有先锁存功能。原理为:根据与门功能特点:只要有一低电平输入输出即为低电平。当D触发器集成块74LS175输出端输出一有效低电平后,电路中第7个与门U15A输出即为低电平,而U15A输出信号又与555触发器构成的多谐振荡器输出信号相与,因此只要U15A输出低电平,多谐振荡器产生的脉冲就无法输入,只有当D触发器集成块74LS175输出端输出全为高电平时脉冲才正常输入,各D触发器正常工作。

3.抢答后,数码管显示优先抢答队员编码,蜂鸣器鸣响。

原理为:D触发器集成块74LS175输出输出锁存信号(有效低电平)后通过编码器 集成块74HC147把输入低电平有效信号的端序号(1至8),编码为四位二进制数0001至1000。然后通过74LS47集成块(数码管驱动器),在数码管上显示相应的数字,即优先抢答队员编码。当蜂鸣器两端分别输入高低电平时,风鸣器鸣响,当两端都为低电平时不鸣响。已知第7个与门U15A在位抢答前为高电平,抢答后为低电平。由此可根据与门U15A输出电平随抢答状态的变化来控制风鸣器的鸣响。使蜂鸣器低电平端接地,高电平端通过一非门接与门U15A输出端即可。

四、实验电路 1.电路原理图

电路原理图1:

1.由于七管脚半导体数码管是理想器件,不存在。因此改用74LS47集成块驱动七段字符显示器。

2.由于八管脚或非门集成块未找到,后改用7个与门代替,集成块为74LS08.3.为方便控制,电路改进为清除开关按下时是清零状态,未按下时为工作状态。

修改后的电路原理图如下图。

电路原理图2:

2.组装电路图与实验结果

五、调试过程

在设计电路图在仿真软件Multisim上仿真成功,领到相应的器件后,我们就开始了在电路板上进行了电路的组装,并在组装过程中进行了相应的检验与校正。1.首先在电路连接方面,由于刚开始连电路时未按一定的方法步骤,容易出现连错和漏连情况。

2.由于器件的限制及实际操作情况,电路要做相应的改变。

由于七管脚半导体数码管是理想器件,不存在。因此改用74LS47集成块驱动七段字符显示器。

由于八管脚或非门集成块未找到,后改用7个与门代替,集成块为74LS08.为方便控制,电路改进为清除开关按下时是清零状态,未按下时为工作状态。3.由于器件的损害,使电路无法正常工作。

经过多次对电路的检查,确定电路没连错而仍无法正常工作达到预期功能后,我们开始对各部分电路分别进行了检测:

首先,我们检测了555触发器构成的脉冲产生模块。用的方法:用我们电路中的脉冲产生模块去替代别的同学已经成功电路板中的脉冲模块来触发其电路,结果发现电路板能正常工作,所以验证了:我们的脉冲产生模块是能正常工作的。

然后,我们检测了我们D触发器构成的信号锁存模块。用的方法:用LED灯检测D触发器Q输出端输出电平状况。LED灯一端接地另一段通过电阻接D触发器Q输出端,当抢答按钮按下后,检测相应的Q输出端是否输出高电平,使LED灯点亮。结果发现抢答按钮按下后相应的Q输出端并没有输出高电平使LED灯点亮,于是我们确定了我们的D触发器集成块已损害。替换成相应的集成块后,电路就能正确工作了。

六、实验心得

在此次实验中,我们体验到了理论知识在实际中的运用,体验到了创造过程中的探索的艰难和成功的喜悦。通过此次实验即巩固了我们的理论知识,又锻炼了我们的动手能力。也让我们认识到了把理论运用到实际过程中可能会遇到一些不同的困难,但只要认真分析、仔细思考,用科学冷静的头脑去研究问题,终究能发现问题的所在,取得成功。

第四篇:八路智力竞赛抢答器设计实验报告

数字电子技术课程设计

题 目:

姓 名: 专 业: 班 级: 学 号: 指导教师: 八路智力竞赛抢答器设计

电子科学与技术 122班 年 月 日 安徽科技学院理学院

安徽科技学院《数字电子技术》课程设计报告

八路智力竞赛抢答器设计

一、课程设计题目(与实习目的)

(一)、题目:八路智力竞赛抢答器设计

(二)、实习目的:

1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。4.培养认真严谨的工作作风和实事求是的工作态度。

5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。

二、任务和要求

实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。

(1)抢答器设计要求

设计一个抢答器,基本要求:

1.抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。

2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。

三、总体方案的选择

(1)总体方案的设计

针对题目设计要求,经过分析与思考,拟定以下二种方案:

方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。

主体框图如下:

第 1

页 安徽科技学院《数字电子技术》课程设计报告

图1 八路智力抢答器方案一设计框图

方案二:主持人按动开始抢答的开关后,最先抢答的选手的电平信号先经过优先编码器,再依次经过数据锁存器,此时已经限制了其他选手的抢答,信号再经过译码器和七段数码显示器,将最先抢答的该选手的编号显示出来,并同时产生报警信号,到此完成的是抢答功能;如果没有人抢答,30秒减计数器减到00时也会发出报警信号,此是完成计时功能。

主体框图如下:

图2 八路智力抢答器方案二设计框图

第 2

页 安徽科技学院《数字电子技术》课程设计报告

(2)总体方案的选择

相比之下,第二种方案更好些。它的优点表现在以下几个方面:这种方案原理比较简单。主持人对整体电路的控制只需几个门电路就可完成,不必用特别的芯片来组成控制电路;更容易实现报警提示功能,在有选手抢答后或者计时开始和结束时。既减少了布线使整个电路更直观简单,又降低了产生错误的可能性。

四 单元电路的设计

1.设计所使用的元件及工具:

74LS48----------------------------3个;

74LS279----1个; 74LS192-2个;

74LS148--------1个; 74LS00----------------------------------3个;

74LS10------------1个;

发光二极管--------------------1个; 555----------------------1个; 电容: 0.1μf---------------1个;

0.01μf----------------1个;

电阻: 10kΩ

--------------------------------------9个;

15KΩ---------------1个; 1kΩ-----------------1个; 68KΩ-----------------1个

实验板一块; 万用表一个; 钳子一个; 导线若干。2.各个单元电路(1)抢答电路设计

抢答电路的功能有两个:一是能分辨出选手按按钮的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按钮操作无效。因此,选用优先编码器74LS148和RS锁存器74LS279以及译码显示电路完成上述功能。

第 3

页 安徽科技学院《数字电子技术》课程设计报告

抢答器电路

工作原理:SW1-8为八位选手的抢答开关,SW9单刀双掷开关设为主持人控制开关。当主持人控制开关置于清零状态时,RS触发器的R端为低电平,输出端全部为低电平。于是74LS48的BI为高,显示器灭灯;74LS148的选通输入端ST为高电平,74LS148处于工作状态,此时锁存电路不工作。当SW9置于开始状态,优先编码电路和锁存电路同时处于工作状态。74LS279的1R、1S均为高电平,由真值表可知,输出1Q为低电平,从而使74LS148输入使能端为低电平有效,即抢答器处于等待工作状态。若有选手(假设为3号选手)按动抢答开关(即闭合SW4),此时优先编码器74LS148输入端I3接低电平有效,则输出A2A1A0为100,A2A1A0分别接至4S、3S、2S,根据RS锁存器真值表,2Q3Q4Q输出分别为110,从而74LS48的输入端DCBA为0011,经74LS48译码,显示器上显示“3”。与此同时,当74LS148输入端有一个为低电平时,GS为低电平有效,即标志译码器处于工作状态,从而使1S为0,此时1Q输出为高电平,致使EI为高电平,74LS148处于禁止工作状态,其他选手抢答按钮的输入信号不会被接受。这就保证了抢答者优先性以及抢答电路的准确性。抢答结束后,主持人开关置于清零状态,数码管变灰,一切恢复初始状态,以便进入下一轮抢答环节。

第 4

页 安徽科技学院《数字电子技术》课程设计报告

(2)定时电路设计

设计要求抢答器具有定时功能,且节目主持人根据抢答题的难易程度,可设定一次抢答的时间(设为30s)。设计中选用十进制同步加/减计数器74LS192进行设计,74LS192是具有置数和清零功能, 其引脚图和逻辑图如图10所示。

图10 74LS192引脚图和逻辑图

P0、P1、P2、P3——置数并行数据输入; Q0、Q1、Q2、Q3——计数数据输出; CR————————清零端; LD————————置数端;

CPu ———————加法计数CP输入; CPd ———————减法计数CP输入; CO————————进位输出端; BO————————借位输出端。

表5 74LS192真值表

根据设计要求,需要两片74LS192构成100进制减计数器。由功能真值表可知,只需将个位74LS192的借位输出端BO与十位74LS192的CPd即可实现100进制减计

第 5

页 安徽科技学院《数字电子技术》课程设计报告

数。值得注意的是,要使其实现减计数,CPu端口必须接高电平。

计数器的时钟脉冲由秒脉冲电路提供。秒脉冲电路由555构成的多谐振荡器构成,如图11所示。多谐振荡器无需外加输入信号就能在接通电源自行产生矩形波输出。

图11 多谐振荡器

因为周期为一秒,所以频率是1赫兹。图中电容的充放电时间分别是: t1=RB×C×ln2≈0.7RB×C t2=(RA+RB)×C×ln2≈0.7(RA+RB)C 所以555的3端输出的频率为: f=1/(t1+t2)≈1.43/[(2RA+RB)C] 我们采用的电阻和电容值分别是:RA=15KΩ,R2=68KΩ,C1=10uf,满足上式,即得到的是秒脉冲。

由以上集成芯片设计的定时电路如图12所示。

图12 定时电路

第 6

页 安徽科技学院《数字电子技术》课程设计报告

工作原理:首先主持人根据题的难易程度改变74LS192的输入端D3D2D1D0的电平来确定抢答时间(假定为30秒),555构成秒脉冲产生电路为计时电路提供脉冲。抢答开始前主持人闭合开关,74LS192的置数端PL为低电平有效,处于置数状态,数码管显示定时时间。抢答开始,主持人打开开关,计数器处于计数状态,555产生的秒脉冲与十位74LS192借位输出端(其初始状态为高电平)相与。计数器递减计数至00,十位74LS192借位输出端为低电平,计数器停止工作,产生报警。计时期间有人抢答,减计数器停止计时,显示器上显示此刻时间。

(3)报警电路设计

由555定时器和三极管构成的报警电路如图13所示。图中555定时器用来构成多谐振荡器,其震荡频率和秒脉冲产生电路中频率的计算方法相同。3端的输出信号经过三级管驱动扬声器,发出报警信号。当4端的输入信号是高电平时,振荡器工作,有报警信号,4端输入低电平时,振荡器不工作,没有报警信号。也就是说需要报警时只需控制输入端即可。

电路图如下:

图13报警电路

第 7

页 安徽科技学院《数字电子技术》课程设计报告

(4)时序控制电路

时序控制电路是抢答器设计的关键,需要完成以下三项功能:

a.主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。

b.当竞赛选手按动抢答键时,扬声器发声,同时抢答电路和定时电路停止工作。c.当设定的抢答时间到,无人抢答时扬声器发声,同时抢答电路和定时电路停止工作。

本设计中采用门电路对控制开关、抢答电路、定时电路、报警电路进行连接,以实现上述三项功能要求(如图14所示)。

图14 时序控制电路

第 8

页 安徽科技学院《数字电子技术》课程设计报告

其中,两输入与非门采用74LS00,引脚图如图15所示。三输入与门采用74LS11,引脚图如图16所示。电路中利用与非门两输入端相连实现非门的逻辑功能。

图15 74LS00引脚图

图16 74LS11引脚图

工作原理:门G1的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输入使能端。主持人控制开关从“清零”位置拨到“开始”位置时,74LS279的输出1Q=0,经G3反相,A=1,则从555输出端来的时钟信号CP能够加到74LS192的CPd始终输入端,定时电路进行递减计时。同时,在定时时间未到时,74LS192的借位输出端BO2为低电平,门G2的输出ST为高电平,使74LS148处于正常工作状态,从而实现功能a的要求。当选手在定时时间内按动抢答按钮时,1Q=1,经G3反相,A=0,封锁CP信号,定时器处于保持工作状态;同时,门G2的输出ST为低74LS148处于禁止工作状态,从而实现功能b的要求。当定时时间到时,来自74LS192的BO2为高,ST为高,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁CP信号,使定时电路保持00状态不变,从而实现功能c的要求。

第 9

页 安徽科技学院《数字电子技术》课程设计报告

五 总体电路图

图17 总电路图

下面介绍八路智力竞赛抢答器的使用原理。

首先是各个选手分别对应的按钮编号是S0、S1、S2、S3、S4、S5、S6、S7,抢答后显示器上显示的分别是0、1、2、3、4、5、6、7。

然后是主持人对整个电路系统清零,将开关置于“清零”的位置,输出低电平,分为两路:一路与锁存器的1R2R3R4R端相连,使输出端1Q2Q3Q4Q为低电平,1Q所输出的低电平经与门反馈给74LS148的EI端子,编码器不工作,因此抢答部分显示器灭灯无显示,实现了清零;另一路低电平输出到计数器74LS192的LD端,而CR端也是低电平,所以使得对应显示器输出预置的数据。

接下来主持人根据题目的难易程度设置抢答时间,此设定可以通过调节输入两片74LS192的四个输入端D、C、B、A的高低电平来进行(例如要设定时间为30秒,就

第 10

页 安徽科技学院《数字电子技术》课程设计报告

将十位的74192的D、C、B、A分别置位为0、0、1、1,而将各位的74LS192的D、C、B、A都置于0)。当主持人宣读完题目说“开始”并将开关置于“开始”位置后,输出为高电平,此高电平有两路方向:一路输出到74LS192的LD端,使其处于高电平而开始减计数;还有一路输出到锁存器的R端。

当任意一个选手抢答时,例如3号抢答时,74LS148三号端子输入低电平有效,此时GS为低电平有效,表征编码器在正常工作。编码输出A2A1A0为100,与其对应的4S3S2S为100,经74LS279锁存,4Q3Q2Q输出为011,经译码显示编号为3。与此同时,1Q所输出的高电平反馈回编码器的是能输入端,使其停止工作。此时,其他选手若再按动按钮也无对应输出,这就保证了抢答者优先性以及抢答电路的准确性。另一路,74LS148的GS端输出电平由高变低,与秒脉冲发生器产生的秒脉冲相与后输出为0,使得无脉冲抵达计数器74LS192的Down端。计数器停止工作,保持原来显示不变,即实现了暂停减计数使其记录抢答时间的功能。

若没有选手按动按钮,则74LS279输出全为高电平,74LS148也输出高电平,1Q端输出低电平至74LS48的灭灯输入RI/RBO端,使得信号经74LS48到显示器上时无显示;若到定时部分计数器倒计时到00还无选手按动按钮的话,十位74LS192的借位输出端输出高电平反馈回个位Down端,停止计数。综上所述,所设计的电路基本可以实现要求中的功能。

六 电路仿真

电路仿真采用Multisim仿真软件进行的。Multisim软件可提供的仿真元器件资源:仿真数字和模拟、交流和直流等数千种元器件;可提供的仿真仪表资源 :示波器、逻辑分析仪信号发生器、交直流电压表电流表等。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。因此,选用该软件对抢答器各单元电路及整体电路进行仿真。

第 11

页 安徽科技学院《数字电子技术》课程设计报告

七、小结

通过本次课程设计,不仅有效巩固了本学期所学数电的相关知识,加强了对重要知识点的记忆和理解,还学会如何运用Multisim仿真进行仿真受益匪浅,现总结如下。

本设计的难点在于时序控制电路的设计,如何在第一位抢答者抢答题目后让编码器停止工作;如何使计时电路在抢答后停止倒计时;如何让定时电路和抢答电路同时清零。设计过程中,根据以往抢答器设计思路,及查阅相关资料,可运用74LS279的输出1Q完成上述控制任务。从这一点,折射出自己在平时的学习中较死板,缺乏变通思考的能力。在电路仿真的过程中,由于Multisim操作相对较简单,因此在仿真过程中较为顺利。

第 12

页 安徽科技学院《数字电子技术》课程设计报告

通过本次实践操作,也让我深刻明白:只有将课本上的理论知识,结合实践不断练习,不断总结提炼,反复思考实践中的经验教训,才能够真正消化为自己的知识。

八 分析和总结。

本次课程设计我只用了一个星期的时间就全部做完,效率很高,因为在设计之前根据设计的要求,每个模块都仔细的设计分析了,正是整个过程我都认真的态度和方案选择合适,才有这么高的效率。而且从本次课程设计中收获很多。可以总结为以下的几点:

(一)、巩固数电知识

这次课程设计主要是运用数字电路逻辑设计的一些相关知识,在整个实习过程中,都离不开对数字电路课程知识的再学习。我在最开始,就先将实习用到的知识通过翻阅数电书回顾了一遍(这也是对这门课的复习,给以后的复习备考减少了很多负担),这样的回顾让我对知识的理解更加透彻,对后来的快速设计起了很好的铺垫作用。而且还参考了数字电路实验指导书,关于芯片的管脚,里面有清晰的描述。

(二)、学会用电路板、芯片、导线等组装各种功能的电路;

虽然这不是第一次用电路板,因为之前的课内实验也用过,但当时的运用也只是插些导线和电阻电容之类的,用了电路板的很小部分。这次的实习中应用了整块板子,实习后对电路板的组成完全了解了,并能熟练运用。实习中通过对电路的连接也懂得了如何通过设计的分析对所连电路的整体布局,如何更好的放置芯片在最合适的位置。在导线的连接上,如何选择导线走向是关键,我们应该尽量保证所连电路的简捷,宁短勿长,合理布线。一个完美的作品不仅要能很好的完成要求实现功能,还要在感官上给人美的享受。所以站在美的角度对自己的电路进行改良是很必要的。

(三)、理论联系实际

据老师介绍,这是大学里唯一一次比较大型的动手实践机会。我当然不会错过。课程设计,通过选择的题目,根据要求,运用所学知识将其付诸实践来完成。这并不是在课堂上的单纯听懂,或者课后看书过程中的深入理解,这需要的是一种理论联系实践的能力。理论知识往往都是在一些理想状态下的假设论,而实际的动手操作则完全不同,需要考虑实际中的很多问题。有些知识在理论上可能完全没错但到了实际中则不然。比如在动笔做题时我们是不用考虑导线、电阻是否连接的牢固合理,但在实际中,导线电阻有时是会带来时延造成花型变化的错乱,所以我们应尽量在连接电路时选择最短路径。平时试验中,我就很认真,所以会比平时不动手的要轻松地多。

(四)、和同学共同合作、互相学习、共同进步

最初大家没思路的时候,特别的着急,我想出来了,大家问我,我就说了我的思路,我们不是抄袭,只是探讨,们个人都理解了才开始设计,我做的循环两遍,他们的都不是。我们的设计不同,我只是给大家启发了一个思考点。理论上我先设计出来,但在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间,也会从别人身上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的idea,其他人的设计也可能有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。

第 13

第五篇:四路抢答器实验报告总结(精简版)

四路抢答器实验报告总结

组员:肖燕艳、邓华、刘思纯、彭丽

一、设计目的

1、学习数字电路中的优先编码器、锁存器、多谐振荡器、译码器、数据显示管的综合运用。

2、熟悉抢答器的工作原理。

3、了解数字系统设计,调试及故障排除方法。

二、设计要求

1、四组参赛者进行抢答,当抢答组按下抢答按钮时,抢答器能准确的判断出抢答者。

2、抢答器应具有互锁功能,及某组抢答后能自动封锁其他各组进行抢答。

3、抢答器应具有限时功能,限时9秒进行抢答,要求显示时间数据。

4、系统具有一个总的复位开关。

三、实验元件

优先编码器74LS148 锁存器74LS297 译码器74LS48D 共阴数码管1个 5个开关 若干导线

四、实验原理

当主持人控制开关处于“清除”时,D触发器的清零端为低电平,使D触发器被强制清零,输入的抢答信号无效。当主持人将开关拨到“开始”时,D触发器Q非端前一状态为高电平,四个Q非端与在一起为高电平,再和抢答按键信号和借位信号与在一起给D触发器的脉冲端,当没人抢答时,抢答信号为低电平,与门输出端为低电平给D触发器脉冲端,当一有人抢答时,抢答信号为高电平,输出端为高电平给D触发器,于是D触发器就有一个上升沿,使得抢答信号经D触发器触发锁存再经过译码器译码,把相应的信号显示在数码管上。另外,当选手松开按键后,D触发器的Q非前一状态为低电平,与在一起后给与门,使得它的输出端为低电平给D触发器,则D触发器的脉冲输入端恢复原来状态,从而使得其他选手按键的输入信号不会被接收。这就保证了抢答者的优先性及抢答电路的准确性。当选手回答完毕,主持人控制开关S是抢答电路复位,以便进行下一轮抢答。

五、实验过程

1、仿真实验

在这个实验过程中,我们遇到的问题不是很多,就是对软件不太熟悉,找元件有点慢;另外就是由于粗心偶尔连错线,经过自己的检查还是能改正过来。所以从整体上看第一阶段的实验还是挺顺利的。

2、面包板实验

这个实验主要是用导线将元件连接在面包板上,由于没有找到可用的面包板,我们用细线将三个部分的电路绑在一起,过程较前面的仿真实验难度加大了,我们将整个实验图分成三个部分,每个小组成员各自负责一部分。由于刚开始对面包板的内部结构了解得不够透彻,所以电路连接还是有点困难,不知道从何处下手。经过自己的摸索以及和同学讨论慢慢地知道了面包板的结构,电路连接也就顺利了。

3、调试过程

完成电路后,我们进行了电路调试,发现出现了点问题。因为之前没有分模块测试电路的成功性,所以最后检查电路时就比较困难。我们的锁存电路和译码器电路一开始就挺成功的,问题就出现在开关电路:清零后,不管按哪个按键都只是出现7,检查完电路的各个方面后我们还是没有解决好这个问题。之后再老师的帮助下,利用探针检查电路,终于找出了问题所在,完善了实验电路。

四、实验总结

1、在使用仿真软件设计电路时,要特别注意元器件的封装,一旦出现封装错误,就会使得整个电路不能正常运行,所以要格外细心小心。

2、在面包板上组装电路的时候,要注意每个元器件管脚的排布,还有就是面包板并不是将元器件直接焊接到电路中的,所以常常会出现接触不良而是电路时而工作稳定时而失效的现象,所以安放元器件时要确保安装牢固,不至于会掉出来,尽量避免是因为接触不良而引起的电路工作不稳定。

下载六路抢答器实验报告word格式文档
下载六路抢答器实验报告.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    八路智力竞赛抢答器设计实验报告抢答器设计实验报告[5篇范例]

    20XX 报 告 汇 编 Compilation of reports 数字电子技术课程设计 题 目: 八路智力竞赛抢答器设计姓 名: 专 业:电子科学与技术 班 级:122 班 学 号:指导教师: 20年月 日......

    “六路三桥”项目-完工总结

    项目完工总结 阜阳经济技术开发区“六路三桥”工程是我公司中标施工,本项目于2013年11月23日进驻现场组建项目部,于2013年12月25日正式开工,于2015年12月底全面完工。期间,公司......

    凤城六路充电站消防应急预案

    西安正源新燃料发展有限公司 西安正源新燃料发展有限公司 凤城六路充电站消防应急预案 为了避免火灾事故发生造成现场混乱,贻误救灾时机,造成重大的人员伤亡和财产损失;明确各......

    抢答器电路设计总结

    抢答器电路设计总结通过学习《电子产品组装工艺与设备》这门课程,我学会了许多课本上学不到的东西,同时也加强了我的动手、思考和解决问题的能力,受益匪浅。 在老师的指导下,我......

    智力竞赛抢答器申请报告

    电子工程学院课外学分申请书 题目:智力竞赛抢答器 班级:A1331班 姓名: 赵筱洁学号:11311110114 专业: 自动化实 验 室:开放实验室设计时间:2015 年4月 16日 审批意见:指导教师:吴......

    数字抢答器(数字电路)范文合集

    一、摘 要:数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体......

    抢答器操作说明书

    抢答器操作说明书 一、 设置与使用方法 1、 回答时间设置:(假设回答问题的时间为15秒) 按一下“回答时间设置”按键,进入回答时间设置界面。此时的在时间界面上,显 示时间(好像)是2......

    四人抢答器总结

    四人抢答器实习报告 电子101 史军军 10446119 1、实训内容 (摘自《电子设计实训教程》 何宝祥 主编) (1) 听取用电安全知识、焊接技术和PROTEL应用技术等理论讲座。 (2) 理解四人......