VHDL数字电路设计教程第六章习题答案

时间:2019-05-12 17:36:51下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《VHDL数字电路设计教程第六章习题答案》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《VHDL数字电路设计教程第六章习题答案》。

第一篇:VHDL数字电路设计教程第六章习题答案

P6.1 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_1 is port(clk:in std_logic;

d_out:out std_logic_vector(5 downto 0));end;architecture bhv of chp6_1 is

signal count: std_logic_vector(5 downto 0);

signal temp: std_logic_vector(5 downto 0);begin

process(clk)

begin

if clk'event and clk='1' then

count<= count+1;

if count=“100000” then count<=“000000”;

end if;

end if;

end process;process(clk)

begin

if clk'event and clk='0' then

temp<=temp+1;

if temp=“0111111” then temp<=“000000”;

end if;end if;end process;

d_out<=count+temp;end;P6.3

solution1 library ieee;use ieee.std_logic_1164.all;entity chp6_3 is port(x:in std_Logic_vector(7 downto 1);

y:out std_logic_vector(2 downto 0));end;architecture bhv of chp6_3 is begin

process(x)

begin

if x(7)='1' then y<=“111”;

elsif x(6)='1' then y<=“110”;

elsif x(5)='1' then y<=“101”;

elsif x(4)='1' then y<=“100”;

elsif x(3)='1' then y<=“011”;

elsif x(2)='1' then y<=“010”;

elsif x(1)='1' then y<=“001”;

else y<=“000”;

end if;

end process;end;solution2 library ieee;use ieee.std_logic_1164.all;entity chp6_3_2 is generic(n:integer:=3);port(x:in std_logic_vector(2**n-1 downto 0);

y:out integer range 0 to 2**n-1);end;architecture bhv of chp6_3_2 is begin

process(x)

variable temp:integer range 0 to 2**n-1;

begin

for i in x'range loop

if x(i)='1' then

temp:=i;

exit;

end if;

end loop;

y<=temp;end process;end;P6.4

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_4 is generic(n:integer:=8);port(clk:in std_logic;

clk_out:out std_logic);end;architecture bhv of chp6_4 is signal rst:std_logic;signal temp:std_logic_vector(2 downto 0);begin process(clk,rst)begin

if rst='1' then

temp<=“000”;

elsif clk'event and clk='1' then

temp<=temp+1;

end if;end process;

rst<='1' when temp=“111” else

'0';

clk_out<=temp(2);end;P6.5

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_5 is generic(n:integer:=7);port(clk:in std_logic;

clk_out:out std_logic);end;architecture bhv of chp6_5 is signal temp:std_logic_vector(2 downto 0);signal cout:std_logic;begin process(clk)begin

if clk'event and clk='1' then

temp<=temp+1;

if temp=“110” then temp<=“000”;

end if;

end if;

end process;

cout<='1' when temp=“110” else

'0';

clk_out<=cout;end;P6.6

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity chp6_6 is

port(clk,start,stop,reset:in std_logic;

dig1,dig2,dig3:out std_logic_vector(3 downto 0));end;architecture bhv of chp6_6 is signal sec1:integer range 0 to 10;signal sec2:integer range 0 to 6;signal min:integer range 0 to 10;begin

process(clk,start, stop,reset)

variable count1:integer range 0 to 10;

variable count2:integer range 0 to 6;

variable count3:integer range 0 to 10;

begin

if reset='1' then

count1:=0;

count2:=0;

count3:=0;

elsif clk'event and clk='1' then

if start='1' and stop='0' then

count1:=count1+1;

if count1=10 then

count1:=0;count2:=count2+1;

if count2=6 then

count2:=0;count3:=count3+1;

if count3=10 then

count3:=0;

end if;

end if;

end if;

end if;

end if;

sec1<=count1;

sec2<=count2;

min<=count3;end process;

dig1<=conv_std_logic_vector(sec1,4);

dig2<=conv_std_logic_vector(sec2,4);

dig3<=conv_std_logic_vector(min,4);end;P6.8 library ieee;use ieee.std_logic_1164.all;entity chp6_8 is generic(n:integer:=8);port(input:in std_logic_vector(n-1 downto 0);

output:out std_logic);end;architecture bhv of chp6_8 is begin

process(input)

variable temp:std_logic_vector(n-1 downto 0);

begin

temp(0):=input(0);

for i in 1 to n-1 loop

temp(i):=input(i)xor temp(i-1);

end loop;

output<=temp(n-1);end process;end;

P6.9 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_9 is

generic(n:integer:=4);

port(input:std_logic_vector(n-1 downto 0);

output:out integer range 0 to n);end;architecture bhv of chp6_9 is begin process(input)

variable temp:integer range 0 to n;begin

temp:=0;

for i in 0 to n-1 loop

if input(i)='1' then

temp:=temp+1;

end if;

end loop;

output<=temp;end process;end;P6.10 library ieee;use ieee.std_logic_1164.all;entity chp6_10 is generic(n:integer :=8);port(din:in integer range 0 to n-1;

dout:out std_logic_vector(n-1 downto 0));end;architecture bhv of chp6_10 is begin process(din)

begin

for i in 0 to n-1 loop

if din=i then dout<=(i=>'1', others=>'0');

end if;

end loop;

end process;end;P6.16

library ieee;use ieee.std_logic_1164.all;entity chp6_16 is generic(n:integer:=8);port(a,b:in std_logic_vector(n-1 downto 0);

cin:in std_logic;

s:out std_logic_vector(n-1 downto 0);

cout:out std_logic);end;architecture bhv of chp6_16 is signal carry:std_logic_vector(n downto 0);begin process(a,b,cin,carry)begin

carry(0)<=cin;

for i in 0 to n-1 loop

s(i)<=a(i)xor b(i)xor carry(i);

carry(i+1)<=(a(i)and b(i))or(a(i)and carry(i))or(b(i)and carry(i));

end loop;

cout<=carry(n);

end process;end;说明:本次答案均为课上讨论过的,P6.11-P6.15均可参考第五章答案,可以利用对应语句将其放入进程中。

第二篇:数字电路设计实验报告

数字电路设计实验报告

引言

本课程是面向智能车制作的课程,但是主要讲述的是一些关于智能车制作的一些最基本的基础知识。比如说一些单片机的知识和一些相应数字电路的知识。故我在这里利用一些所学的知识来设计一个数字时钟。该电路系统由秒信号发生器、“时、分、秒”计数器、显示器组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器进行译码,通过六个七段数码管显示出来。

本作品的主要设计目的是熟练使用555定时器构成多谐振荡器的方法,掌握使用74LS160构成60进制计数器的方法以及使用74LS160构成24进制计数器的方法,理解在实际的设计电路中电压电流关系对整个电路功能的实现所具有的重要性。

关键词:数字电子钟;555定时器;60进制计数器;24进制计数器;共阳极七段数码管;74LS47译码器;

第一章:设计方案的选择

数字电子技术的复杂性和灵活性决定了数字电子钟的设计方案有多种,以下是本设计的方案选择。

1、信号源的选择

多谐振荡器,信号发生器,脉冲芯片以及石英晶体振荡器等方式都可以作为脉冲信号源,但是石英晶振产生的频率较高,需要用到分频器来对它进行分频处理,故在此我选择的是用555定时器制作的多谐振荡器,主要考虑的是它的易于制作和很好的稳定性。

2、计数器的选择

时分秒计数器的选择在74系列里同样有多种,74LS160和74LS161,74HC161,74LS191等等也都可以,考虑到其简单易用,在此我选择的是74LS160。

3、译码器的选择

译码器的选择就只有两大类,一类是驱动共阳极的数码管,一类是驱动共阴极的数码管。在74系列里也有好几种,在这里我选用74LS47来驱动共阳极数码管。

4、元器件清单

74LS160(十进制计数器)——6个,74LS47(译码器)——6个;74LS00(2输入与非门)——3个;BCD数码管——6个;NE555芯片一个,电阻,电容,滑动变阻器。

第二章、设计原理描述

1、数字计时器的设计思想

要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,使“时”、“分”、“秒”得以数字显示出来。我设计的数字时钟就是用来计时的,厄并没有增加那个什么其它的报时、校对的功能。

2、设计框图:

电子钟在逻辑功能上是有秒脉冲发生器、秒计数器、分计数器、时计数器、译码器、显示器等组成。其原理框图如下所示:

秒脉冲计数器——>>秒计数器——>>分计数器——>>时计数器——>>译码器——>>显示器

3、时钟信号的产生:

用NE555芯片和两个电阻以及两个电容组成一个时钟振荡电路,用来产生时钟信号的。电阻R1、R2和电容C1构成定时电路。定时电容C1上的电压UC作为高触发端TH(6脚)和低触发端TL(2脚)的外触发电压。放电端D(7脚)接在R1和R2之间。电压控制端K(5脚)不外接控制电压而接入高频干扰旁路电容C2(0.01uF)。直接复位端R(4脚)接高电平,使NE555处于非复位状态,3脚用于输出时钟信号。

由555定时器组成的多谐振荡器如图(C)所示,其中R1、R2和电容C为外接元件。其工作波如图(D)所示。

图2-3 555定时器组成的多谐振荡器及其工作波形图 设电容的初始电压Uc=0,t=0时接通电源,由于电容电压不能突变,所以高、低触发端VTH=VTL=0<VCC,比较器A1输出为高电平,A2输出为低电平,即RD1,SD0(1表示高电位,0表示低电位),RS触发器置1,定时器输出u01此时Q0,定时器内部放电三极管截止,电源Vcc经R1,R2向电容C充电,uc逐渐升高。当uc上升

___13__1到Vcc时,A2输出由0翻转为1,这时RDSD1,RS触发顺保持状3态不变。所以0

_2tt1时刻,uc上升到Vcc,比较器A1的输出由1变为0,这时RD0,3SD1,RS触发器复0,定时器输出u00。

t1tt2期间,Q1,放电三极管T导通,电容C通过R2放电。uc__按指数规律下降,当ucVcc时比较器A1输出由0变为1,R-S触发器的RDSD1,Q的状态不变,u0的状态仍为低电平。

tt2时刻,uc下降到__23_1Vcc,比较器A2输出由1变为0,R---S触3发器的RD1,SD0,触发器处于1,定时器输出u01。此时电源再次向电容C放电,重复上述过程。

通过上述分析可知,电容充电时,定时器输出u01,电容放电时,u00,电容不断地进行充、放电,输出端便获得矩形波。多谐振荡_器无外部信号输入,却能输出矩形波,其实质是将直流形式的电能变为矩形波形式的电能。

多谐振荡器的放电时间常数分别为

tPH≈0.7×(R1+R2)×C1 tPL≈0.7×R2×C1

振荡周期T和振荡频率f分别为 T=tPH+tPL≈0.7×(R1+2R2)×C1

f=1/T≈1/[0.7×(R1+2R2)×C1] 根据以上两个式子就可以根据所需要的频率来确定电阻和电容的参数,但是为了频率可调一般会在电路4和7中间接入一个滑动变阻器。

4、用两个74LS160组成一个60进制的计数器原理: 74LS160本来是十进制的计数器,那么如何用两个十进制的计数器使它成为一个60进制的计数器呢?不过仅仅用这两个芯片是不可能的,还需要借助一个叫做74LS00的与非门芯片。

74LS160的工作要满足的条件有两个:它的MR,PE,CEP,CET这四个端口要为高电平才可以为计数状态;另一个条件就是CP端要有上升沿信号的输入,才会进入计数的状态。当MR为低电平的时候,无论74LS160的状态如何,都会把数据进行清零。那么在了解了这三个关于74LS160的基本的知识之后,那么就可以开始设计60进制的计数器了。原理图如下:

首先第一个160芯片的CP端接入到信号发生的那个端口上,其它的PE,CER,CET,MR都接到高电平上。在160的四个输出端,把四个输出端按照高低位的顺序接入到74LS47的输入端上。再把第一个芯片的TC(进位输出端)接到第二个芯片的CP端。接到第二个芯片的CP端是为了产生时钟计数信号(也即秒钟的个位计数信号)。第二个芯片的接法也是它的PE,CER,CET都接到高电平上,在160的四个输出端上,一方面把13和12两端的线接到第一个74LS00的输入端,另一方面把四个输出端按照高低位的顺序接入到74LS47的输入端上。再把74LS00的输出端接到第二个芯片的MR端和第三个芯片的CP端。接到第二个芯片的MR端是为了对第二个芯片的计数进行清零处理;而接到第三个芯片的CP端是为了产生时钟计数信号(也即分钟的个位计数信号)。

那么下面解释一下为什么是这么接线的:160是一个四位的计数器,从低位到高位的依次顺序是(14、13、12、11)。当74LS00的输入信号全是高电平时,其输出信号为低电平(但两个输入信号不全为高电平的时候,其输出端一直为高电平),把第一个芯片的TC端接到第二个芯片的CP端,是因为160具有自动进位的功能,每当160从9变到0的时候,就会在TC端产生一个高电平脉冲信号,从而产生了一个上升沿,使得第二个芯片开始计数。对于第二个芯片我们只要其当计数到6就可以,但是又不能出现6,所以第二个芯片的输出端的接法是把13、12两个端口接到74LS00的输入端,但第二个芯片从5变到6时(CP端会受到第一个芯片的影响,从而触发第二个芯片从5变到6),此时端口输出可表示为(0110),从而和第一个芯片引发类似的数据清零处理。

在这个数字电路中是需要两个60进制的计数器的,但是分钟的计数器和秒钟是差不多的。

5、用两个74LS160组成24进制计数器的原理: 电路图如下图所示:

组成24进制的计数器的方法用点不同于60进制计数器的连接方法。因为时钟只能从0计时到24,但是有因为时钟的个位数不是一个循环的计数,第二次计数时只要计到4变5的时候就要清零,而时钟的十位数只要计到2变3的时候就要清零了,因此连接方法也就不同于之前的。

第五个芯片的CP端也是接到第二个74LS00的输出端口上,它的PE,CER,CET都接到高电平上。在160的四个输出端上,一方面把12端(0010)的线接到第三个74LS00的输入端,另一方面把四个输出端按照高低位的顺序接入到74LS47的输入端上。而对于第六个芯片的输出端中的13端(0100)接到第三个74LS00的输入端,对于74LS00的输出端分别接到第五个和第六个的MR端,这样就可以实现时钟的清零了,不会出现24了。因为当第五个芯片从3变成4的一瞬间,MR也在差不多同时进行清零了。对于第五个的计数是一方面利用160自身的从9变0的功能。

6、显示电路:

在设计我用74LS47译码器来驱动共阳极的一位数码管,电路图如

第三章:数字电路的仿真设计 在multisim中画完电路之后,在进行仿真,以用来检验电路的正确性。完整的电路图如下,在仿真的时候没有接入ne555电路,而是用的函数发生器来充当信号源。但是效果是一样的。

仿真的结果分析:经测试,电路可以实现设计要求,可以实现数字钟的基本功能,秒脉冲信号及60、24进制计数器均可正常工作。所以,基于仿真结果可以认定,此次数字钟的设计是成功的。

第四章:心得体会

通过这次对数字电子钟的课程设计,把课本上学到的知识与实践相结合。从中对学到的知识有了更进一步的理解,而且更进一步的熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。厄,在一开始的时候我查阅了智能车队的里上传的关于74系列的资料,在认真阅读完相应的芯片的资料后,发现不是很懂。只知道74LS160是十进制的计数器,但是怎样才能组成60进制和24进制的计数器呢,不得已只能上网查阅资料,但是一查却发现许多是基于单片机的电子钟设计,而不是纯数字电路,后来找到一些资料和图,却发现不是很好理解。最后按照一个查到的关于60进制的计数器,在软件上连了,却发现没用第二个数码管根本不会计数,也即没有上升沿的输入。最后看了半天和百度,才发现那个电路图是有问题的了。74LS160虽然是十进制的计数器,但是它便不会计到10,而是在9以后就变为0了,所以把160的13和11两端的线接到与非门上,根本就不会输出低电平,一直保持的高电平输出。最后在研究了一下160的几个端口的功能之后,发现我完全可以把第一个160的TC端接到第二个芯片的CP端以用来产生时钟信号。按照这种思路来设计电路的话,就可以只要用到三个74LS00与非门了,比一些用五个的就要更简便一些了。从这个查阅资料的过程中,我发现了有问题可以找百度,但是却不能依赖于百度,有时看书才是王道那。

其实设计本身并不是有很重要的意义,而是在于我们对待问题时的态度和处理事情的能力。各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点。同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。

另外,我还渐渐熟悉了multisim这个仿真软件的各个功能,让我体会到了其中的乐趣,而在用这个软件的时候,还要学会如何去接线和安排这些元器件,用实现电路的简洁和直观,尽量要少的线交叉在一起。熟练掌握了Multisim仿真软件的使用,最重要的是提高了自己的动手实践能力,话说熟练的掌握了这个软件的一些基本的用法,比如说找元器件那和设置参数那,那么以后我们也就可以按照自己的思路去设计一些电路,并进行仿真,以证实自己的想法并且进行改进。具体来说:

一、学会了如何使用Multisim 10软件。

二、在实践中了解了多种元件的功能和参数。

三、从仿真实践中不仅学会了如何去分析问题和解决问题,也体会到了成功的喜悦和失败的忧郁。

四、提高了自己的读图和分析图还有设计图的能力。

五、掌握了设计不同进制的计数器的方法。

六、学会了用555定时器来产生一定频率的脉冲的方法。总之,这次课程设计让我学到了好多东西,也掌握了一些74系列芯片的使用。

第三篇:量子力学教程课后习题答案

量子力学习题及解答

第一章

量子理论基础

1.1

由黑体辐射公式导出维恩位移定律:能量密度极大值所对应的波长与温度T成反比,即

T=b(常量);

并近似计算b的数值,准确到二位有效数字。

根据普朗克的黑体辐射公式,(1)

以及,(2),(3)

这里的的物理意义是黑体内波长介于λ与λ+dλ之间的辐射能量密度。

本题关注的是λ取何值时,取得极大值,因此,就得要求

对λ的一阶导数为零,由此可求得相应的λ的值,记作。但要注意的是,还需要验证对λ的二阶导数在处的取值是否小于零,如果小于零,那么前面求得的就是要求的,具体如下:

如果令x=,则上述方程为

这是一个超越方程。首先,易知此方程有解:x=0,但经过验证,此解是平庸的;另外的一个解可以通过逐步近似法或者数值计算法获得:x=4.97,经过验证,此解正是所要求的,这样则有

把x以及三个物理常量代入到上式便知

这便是维恩位移定律。据此,我们知识物体温度升高的话,辐射的能量分布的峰值向较短波长方面移动,这样便会根据热物体(如遥远星体)的发光颜色来判定温度的高低。

1.2

在0K附近,钠的价电子能量约为3eV,求其德布罗意波长。

根据德布罗意波粒二象性的关系,可知

E=h,如果所考虑的粒子是非相对论性的电子(),那么

如果我们考察的是相对性的光子,那么

E=pc

注意到本题所考虑的钠的价电子的动能仅为3eV,远远小于电子的质量与光速平方的乘积,即,因此利用非相对论性的电子的能量——动量关系式,这样,便有

在这里,利用了

以及

最后,对

作一点讨论,从上式可以看出,当粒子的质量越大时,这个粒子的波长就越短,因而这个粒子的波动性较弱,而粒子性较强;同样的,当粒子的动能越大时,这个粒子的波长就越短,因而这个粒子的波动性较弱,而粒子性较强,由于宏观世界的物体质量普遍很大,因而波动性极弱,显现出来的都是粒子性,这种波粒二象性,从某种子意义来说,只有在微观世界才能显现。

1.3

氦原子的动能是(k为玻耳兹曼常数),求T=1K时,氦原子的德布罗意波长。

根据,知本题的氦原子的动能为

显然远远小于这样,便有

这里,利用了

最后,再对德布罗意波长与温度的关系作一点讨论,由某种粒子构成的温度为T的体系,其中粒子的平均动能的数量级为kT,这样,其相庆的德布罗意波长就为

据此可知,当体系的温度越低,相应的德布罗意波长就越长,这时这种粒子的波动性就越明显,特别是当波长长到比粒子间的平均距离还长时,粒子间的相干性就尤为明显,因此这时就能用经典的描述粒子统计分布的玻耳兹曼分布,而必须用量子的描述粒子的统计分布——玻色分布或费米公布。

1.4

利用玻尔——索末菲的量子化条件,求:

(1)一维谐振子的能量;

(2)在均匀磁场中作圆周运动的电子轨道的可能半径。

已知外磁场H=10T,玻尔磁子,试计算运能的量子化间隔△E,并与T=4K及T=100K的热运动能量相比较。

玻尔——索末菲的量子化条件为

其中q是微观粒子的一个广义坐标,p是与之相对应的广义动量,回路积分是沿运动轨道积一圈,n是正整数。

(1)设一维谐振子的劲度常数为k,谐振子质量为μ,于是有

这样,便有

这里的正负号分别表示谐振子沿着正方向运动和沿着负方向运动,一正一负正好表示一个来回,运动了一圈。此外,根据

可解出

这表示谐振子的正负方向的最大位移。这样,根据玻尔——索末菲的量子化条件,有

为了积分上述方程的左边,作以下变量代换;

这样,便有

这时,令上式左边的积分为A,此外再构造一个积分

这样,便有

(1)

这里

=2θ,这样,就有

(2)

根据式(1)和(2),便有

这样,便有

其中

最后,对此解作一点讨论。首先,注意到谐振子的能量被量子化了;其次,这量子化的能量是等间隔分布的。

(2)当电子在均匀磁场中作圆周运动时,有

这时,玻尔——索末菲的量子化条件就为

又因为动能耐,所以,有

其中,是玻尔磁子,这样,发现量子化的能量也是等间隔的,而且

具体到本题,有

根据动能与温度的关系式

以及

可知,当温度T=4K时,当温度T=100K时,显然,两种情况下的热运动所对应的能量要大于前面的量子化的能量的间隔。

1.5

两个光子在一定条件下可以转化为正负电子对,如果两光子的能量相等,问要实现实种转化,光子的波长最大是多少?

关于两个光子转化为正负电子对的动力学过程,如两个光子以怎样的概率转化为正负电子对的问题,严格来说,需要用到相对性量子场论的知识去计算,修正当涉及到这个过程的运动学方面,如能量守恒,动量守恒等,我们不需要用那么高深的知识去计算,具休到本题,两个光子能量相等,因此当对心碰撞时,转化为正风电子对反需的能量最小,因而所对应的波长也就最长,而且,有

此外,还有

于是,有

尽管这是光子转化为电子的最大波长,但从数值上看,也是相当小的,我们知道,电子是自然界中最轻的有质量的粒子,如果是光子转化为像正反质子对之类的更大质量的粒子,那么所对应的光子的最大波长将会更小,这从某种意义上告诉我们,当涉及到粒子的衰变,产生,转化等问题,一般所需的能量是很大的。能量越大,粒子间的转化等现象就越丰富,这样,也许就能发现新粒子,这便是世界上在造越来越高能的加速器的原因:期待发现新现象,新粒子,新物理。

第二章波

函数和薛定谔方程

2.1证明在定态中,几率流与时间无关。

证:对于定态,可令

可见无关。

2.2

由下列定态波函数计算几率流密度:

从所得结果说明表示向外传播的球面波,表示向内(即向原点)

传播的球面波。

解:

在球坐标中

同向。表示向外传播的球面波。

可见,反向。表示向内(即向原点)

传播的球面波。

补充:设,粒子的位置几率分布如何?这个波函数能否归一化?

∴波函数不能按方式归一化。

其相对位置几率分布函数为

表示粒子在空间各处出现的几率相同。

2.3

一粒子在一维势场

中运动,求粒子的能级和对应的波函数。

解:无关,是定态问题。其定态S—方程

在各区域的具体形式为

Ⅰ:①

Ⅱ:②

Ⅲ:③

由于(1)、(3)方程中,由于,要等式成立,必须

即粒子不能运动到势阱以外的地方去。

方程(2)可变为

令,得

其解为

根据波函数的标准条件确定系数A,B,由连续性条件,得

由归一化条件

可见E是量子化的。

对应于的归一化的定态波函数为

#

2.4.证明(2.6-14)式中的归一化常数是

证:

(2.6-14)

由归一化,得

∴归一化常数

#

2.5

求一维谐振子处在激发态时几率最大的位置。

解:

令,得

由的表达式可知,时。显然不是最大几率的位置。

可见是所求几率最大的位置。

#

2.6

在一维势场中运动的粒子,势能对原点对称:,证明粒子的定态波函数具有确定的宇称。

证:在一维势场中运动的粒子的定态S-方程为

将式中的代换,得

利用,得

比较①、③式可知,都是描写在同一势场作用下的粒子状态的波函数。由于它们描写的是同一个状态,因此之间只能相差一个常数。方程①、③可相互进行空间反演

而得其对方,由①经反演,可得③,④

由③再经反演,可得①,反演步骤与上完全相同,即是完全等价的。

④乘

⑤,得

可见,当时,具有偶宇称,当时,具有奇宇称,当势场满足时,粒子的定态波函数具有确定的宇称。#

2.7

一粒子在一维势阱中

运动,求束缚态()的能级所满足的方程。

解法一:粒子所满足的S-方程为

按势能的形式分区域的具体形式为

Ⅰ:

Ⅱ:

Ⅲ:

整理后,得

Ⅰ:

Ⅱ:.⑤

Ⅲ:

Ⅰ:

Ⅱ:.⑧

Ⅲ:

各方程的解为

由波函数的有限性,有

因此

由波函数的连续性,有

整理(10)、(11)、(12)、(13)式,并合并成方程组,得

解此方程即可得出B、C、D、F,进而得出波函数的具体形式,要方程组有非零解,必须

为所求束缚态能级所满足的方程。#

解法二:接(13)式

#

解法三:

(11)-(13)

(10)+(12)

(11)+(13)

(12)-(10)

(b)

k

a

ctgk

k)

()

()

()

(1

=

Þ

+

合并:

利用

#

解法四:(最简方法-平移坐标轴法)

Ⅰ:

(χ≤0)

Ⅱ:

(0<χ<2)

Ⅲ:

(χ≥2)

束缚态<<

因此

由波函数的连续性,有

(7)代入(6)

利用(4)、(5),得

#

2.8分子间的范德瓦耳斯力所产生的势能可以近似表示为

求束缚态的能级所满足的方程。

解:势能曲线如图示,分成四个区域求解。

定态S-方程为

对各区域的具体形式为

Ⅰ:

Ⅱ:

Ⅲ:

Ⅳ:

对于区域Ⅰ,粒子不可能到达此区域,故

.①

对于束缚态来说,有

各方程的解分别为

由波函数的有限性,得

由波函数及其一阶导数的连续,得

由⑦、⑧,得

(11)

⑨、⑩得

(12)

令,则①式变为

联立(12)、(13)得,要此方程组有非零解,必须

把代入即得

此即为所要求的束缚态能级所满足的方程。

#

附:从方程⑩之后也可以直接用行列式求解。见附页。

此即为所求方程。

#

补充练习题一

1、设,求A

=?

解:由归一化条件,有

利用

#

2、求基态微观线性谐振子在经典界限外被发现的几率。

解:基态能量为

设基态的经典界限的位置为,则有

在界限外发现振子的几率为)

(2

0

0

0

x

a

x

a

x

e

dx

e

dx

e

a

a

a

p

a

y

p

a

p

a

w

¥

¥

=

+

=

ò

ò

式中为正态分布函数

当。查表得

∴在经典极限外发现振子的几率为0.16。

#

3、试证明是线性谐振子的波函数,并求此波函数对应的能量。

证:线性谐振子的S-方程为

把代入上式,有

把代入①式左边,得

当时,左边

=

右边。

n

=

3,是线性谐振子的波函数,其对应的能量为。

第三章

量子力学中的力学量

3.1

一维谐振子处在基态,求:

(1)势能的平均值;

(2)动能的平均值;

(3)动量的几率分布函数。

解:(1)

(2)

(3)

动量几率分布函数为

#

3.2.氢原子处在基态,求:

(1)r的平均值;

(2)势能的平均值;

(3)最可几半径;

(4)动能的平均值;

(5)动量的几率分布函数。

解:(1)

(3)电子出现在r+dr球壳内出现的几率为

当为几率最小位置

是最可几半径。

(4)

(5)

动量几率分布函数

#

3.3

证明氢原子中电子运动所产生的电流密度在球极坐标中的分量是

证:电子的电流密度为

在球极坐标中为

中的和部分是实数。

可见,#

3.4

由上题可知,氢原子中的电流可以看作是由许多圆周电流组成的。

(1)求一圆周电流的磁矩。

(2)证明氢原子磁矩为

原子磁矩与角动量之比为

这个比值称为回转磁比率。

解:(1)

一圆周电流的磁矩为

(为圆周电流,为圆周所围面积)

(2)氢原子的磁矩为

在单位制中

原子磁矩与角动量之比为

#

3.5

一刚性转子转动惯量为I,它的能量的经典表示式是,L为角动量,求与此对应的量子体系在下列情况下的定态能量及波函数:

(1)

转子绕一固定轴转动:

(2)

转子绕一固定点转动:

解:(1)设该固定轴沿Z轴方向,则有

哈米顿算符

其本征方程为

(无关,属定态问题)

令,则

取其解为

(可正可负可为零)

由波函数的单值性,应有

∴m=

0,±1,±2,…

转子的定态能量为

(m=

0,±1,±2,…)

可见能量只能取一系列分立值,构成分立谱。

定态波函数为

A为归一化常数,由归一化条件

转子的归一化波函数为

综上所述,除m=0外,能级是二重简并的。

(2)取固定点为坐标原点,则转子的哈米顿算符为

无关,属定态问题,其本征方程为

(式中设为的本征函数,为其本征值)

令,则有

此即为角动量的本征方程,其本征值为

其波函数为球谐函数

转子的定态能量为

可见,能量是分立的,且是重简并的。

#

3.6

设t=0时,粒子的状态为

求此时粒子的平均动量和平均动能。

解:

可见,动量的可能值为

动能的可能值为

对应的几率应为

上述的A为归一化常数,可由归一化条件,得

动量的平均值为

#

3.7

一维运动粒子的状态是

其中,求:

(1)粒子动量的几率分布函数;

(2)粒子的平均动量。

解:(1)先求归一化常数,由

动量几率分布函数为

(2)

#

3.8.在一维无限深势阱中运动的粒子,势阱的宽度为,如果粒子的状态由波函数

描写,A为归一化常数,求粒子的几率分布和能量的平均值。

解:由波函数的形式可知一维无限深势阱的分布如图示。粒子能量的本征函数和本征值为

动量的几率分布函数为

先把归一化,由归一化条件,∴

3.9.设氢原子处于状态

求氢原子能量、角动量平方及角动量Z分量的可能值,这些可能值出现的几率和这些力学量的平均值。

解:在此能量中,氢原子能量有确定值

角动量平方有确定值为

角动量Z分量的可能值为

其相应的几率分别为,其平均值为

3.10一粒子在硬壁球形空腔中运动,势能为

求粒子的能级和定态函数。

解:据题意,在的区域,所以粒子不可能运动到这一区域,即在这区域粒子的波函数

()

由于在的区域内。只求角动量为零的情况,即,这时在各个方向发现粒子的几率是相同的。即粒子的几率分布与角度无关,是各向同性的,因此,粒子的波函数只与有关,而与无关。设为,则粒子的能量的本征方程为

令,得

其通解为

波函数的有限性条件知,有限,则

A

=

0

由波函数的连续性条件,有

其中B为归一化,由归一化条件得

归一化的波函数

#

3.11.求第3.6题中粒子位置和动量的测不准关系

解:

3.12

粒子处于状态

式中为常量。当粒子的动量平均值,并计算测不准关系

解:①先把归一化,由归一化条件,得

/

是归一化的②

动量平均值为

(奇被积函数)

#

3.13利用测不准关系估计氢原子的基态能量。

解:设氢原子基态的最概然半径为R,则原子半径的不确定范围可近似取为

由测不准关系

对于氢原子,基态波函数为偶宇称,而动量算符为奇宇称,所以

又有

所以

可近似取

能量平均值为

作为数量级估算可近似取

则有

基态能量应取的极小值,由

代入,得到基态能量为

补充练习题二

1.试以基态氢原子为例证明:的本征函数,而是的本征函数。

可见,可见,是的本征函数。

2.证明:的氢原子中的电子,在的方向上被发现的几率最大。

解:

∴的电子,其

当时

为最大值。即在方向发现电子的几率最大。

在其它方向发现电子的几率密度均在~之间。

3.试证明:处于1s,2p和3d态的氢原子的电子在离原子核的距离分别为的球壳内被发现的几率最大(为第一玻尔轨道半径)。

证:①对1s态,令

易见,当不是最大值。

为最大值,所以处于1s态的电子在处被发现的几率最大。

②对2p态的电子

易见,当为最小值。

为几率最大位置,即在的球壳内发现球态的电子的几率最大。

③对于3d态的电子

易见,当为几率最小位置。

为几率最大位置,即在的球壳内发现球态的电子的几率最大。

4.当无磁场时,在金属中的电子的势能可近似视为

其中,求电子在均匀场外电场作用下穿过金属表面的透射系数。

解:设电场强度为,方向沿χ轴负向,则总势能为,势能曲线如图所示。则透射系数为

式中为电子能量。,由下式确定

令,则有

∴透射系数

5.指出下列算符哪个是线性的,说明其理由。

①;

②;

解:①是线性算符

②不是线性算符

③是线性算符

6.指出下列算符哪个是厄米算符,说明其理由。

7、下列函数哪些是算符的本征函数,其本征值是什么?

①,②,③,④,⑤

解:①

不是的本征函数。

不是的本征函数,其对应的本征值为1。

可见,是的本征函数,其对应的本征值为-1。

是的本征函数,其对应的本征值为-1。

是的本征函数,其对应的本征值为-1。

8、试求算符的本征函数。

解:的本征方程为

(的本征值)

9、如果把坐标原点取在一维无限深势阱的中心,求阱中粒子的波函数和能级的表达式。

解:

方程(分区域):

Ⅰ:

Ⅲ:

Ⅱ:

标准条件:

取,即

粒子的波函数为

粒子的能级为

由归一化条件,得

粒子的归一化波函数为

10、证明:处于1s、2p和3d态的氢原子中的电子,当它处于距原子核的距离分别为的球壳处的几率最(为第一玻尔轨道半径)。

证:

令,则得

∴为几率最小处。

∴为几率最大处。

令,则得

为最大几率位置。

时,∴为几率最小位置。

令,得

同理可知

为几率最小处。

为几率最大处。

11、求一维谐振子处在第一激发态时几率最大的位置。

解:

令,得,∴

为几率最小处。,∴

为几率最大处。

6.设氢原子处在的态(为第一玻尔轨道半径),求

①的平均值;

②势能的平均值。

解:①

12、粒子在势能为的场中运动。证明对于能量的状态,其能量由下式决定:

(其中)

证:方程

Ⅰ:

Ⅱ:

Ⅲ:

则得

Ⅰ:

Ⅱ:

Ⅲ:

其通解为

利用标准条件,由有限性知

由连续性知

由①、②,得

由③、④,得

把⑤、⑥代入,得

整理,得

由,得

###

13、设波函数,求

解:

14、说明:如果算符和都是厄米的,那么

(+)也是厄米的证:

+也是厄米的。

15、问下列算符是否是厄米算符:

解:①

因为

不是厄米算符。

是厄米算符。

##

16、如果算符满足关系式,求证

证:

17、求

解:

=

018、解:

=

0

第四章

态和力学量的表象

4.1.求在动量表象中角动量的矩阵元和的矩阵元。

解:

#

4.2

求能量表象中,一维无限深势阱的坐标与动量的矩阵元。

解:基矢:

能量:

对角元:

当时,#

4.3

求在动量表象中线性谐振子的能量本征函数。

解:定态薛定谔方程为

两边乘以,得

跟课本P.39(2.7-4)式比较可知,线性谐振子的能量本征值和本征函数为

式中为归一化因子,即

#

4.4.求线性谐振子哈密顿量在动量表象中的矩阵元。

解:

#

4.5

设已知在的共同表象中,算符的矩阵分别为

求它们的本征值和归一化的本征函数。最后将矩阵对角化。

解:的久期方程为

∴的本征值为的本征方程

其中设为的本征函数共同表象中的矩阵

当时,有

由归一化条件

对应于的本征值0。

当时,有

由归一化条件

∴归一化的对应于的本征值

当时,有

由归一化条件

∴归一化的对应于的本征值

由以上结果可知,从的共同表象变到表象的变换矩阵为

∴对角化的矩阵为

按照与上同样的方法可得的本征值为的归一化的本征函数为

从的共同表象变到表象的变换矩阵为

利用S可使对角化

#

4.6求连续性方程的矩阵表示

解:连续性方程为

写成矩阵形式为

第五章

微扰理论

5.1

如果类氢原子的核不是点电荷,而是半径为、电荷均匀分布的小球,计算这种效应对类氢原子基态能量的一级修正。

解:这种分布只对的区域有影响,对的区域无影响。据题意知

其中是不考虑这种效应的势能分布,即

为考虑这种效应后的势能分布,在区域,在区域,可由下式得出,由于很小,所以,可视为一种微扰,由它引起的一级修正为(基态)

∴,故。

#

5.2

转动惯量为I、电偶极矩为的空间转子处在均匀电场在中,如果电场较小,用微扰法求转子基态能量的二级修正。

解:取的正方向为Z轴正方向建立坐标系,则转子的哈米顿算符为

取,则

由于电场较小,又把视为微扰,用微扰法求得此问题。的本征值为

本征函数为的基态能量为,为非简并情况。根据定态非简并微扰论可知

#

5.3

设一体系未受微扰作用时有两个能级:,现在受到微扰的作用,微扰矩阵元为;都是实数。用微扰公式求能量至二级修正值。

解:由微扰公式得

能量的二级修正值为

#

5.4设在时,氢原子处于基态,以后受到单色光的照射而电离。设单色光的电场可以近似地表示为,及均为零;电离电子的波函数近似地以平面波表示。求这单色光的最小频率和在时刻跃迁到电离态的几率。

解:①当电离后的电子动能为零时,这时对应的单色光的频率最小,其值为

②时,氢原子处于基态,其波函数为

在时刻,微扰

其中

在时刻跃迁到电离态的几率为

对于吸收跃迁情况,上式起主要作用的第二项,故不考虑第一项,O

θ

α

x

y

z()

其中

取电子电离后的动量方向为Z方向,取、所在平面为面,则有

#

5.5基态氢原子处于平行板电场中,若电场是均匀的且随时间按指数下降,即

求经过长时间后氢原子处在2p态的几率。

解:对于2p态,可取三值,其相应的状态为

氢原子处在2p态的几率也就是从跃迁到的几率之和。

(取方向为Z轴方向)

=

0

=

0

由上述结果可知,∴

当时,其中

#

5.6计算氢原子由第一激发态到基态的自发发射几率。

解:

由选择定则,知是禁戒的故只需计算的几率

2p有三个状态,即

(1)先计算z的矩阵元

(2)计算x的矩阵元

(3)计算的矩阵元

(4)计算

#

5.7

计算氢原子由2p态跃迁到1s态时所发出的光谱线强度。

解:

若,则

#

5.8求线性谐振子偶极跃迁的选择定则

解:

时,即选择定则为

#

补充练习三

1、一维无限深势阱中的粒子受到微扰

作用,试求基态能级的一级修正。

解:基态波函数(零级近似)为

∴能量一级修正为

2、具有电荷为的离子,在其平衡位置附近作一维简谐振动,在光的照射下发生跃迁。设入射光的能量为。其波长较长,求:

原来处于基态的离子,单位时间内跃迁到第一激发态的几率。

②讨论跃迁的选择定则。

(提示:利用积分关系

答:①

②仅当,所以谐振子的偶极跃迁的选择定则是)

解:①

(对于一维线性谐振子~)

其中

一维线性谐振子的波函数为

跃迁几率,当时的跃迁为禁戒跃迁。

可见,所讨论的选择定则为。

#

3、电荷e的谐振子,在时处于基态,时处于弱电场之中(为常数),试求谐振子处于第一激发态的几率。

解:取电场方向为轴正方向,则有

当经过很长时间以后,即当时。

实际上在以后即可用上述结果。

#

第七章

自旋与全同粒子

7.1.证明:

证:由对易关系

反对易关系,得

上式两边乘,得

7.2

求在自旋态中,和的测不准关系:

解:在表象中、、的矩阵表示分别为

在态中

讨论:由、的对易关系

[,]

要求

在态中,∴

可见①式符合上式的要求。

7.3.求的本征值和所属的本征函数。

解:的久期方程为

∴的本征值为。

设对应于本征值的本征函数为

由本征方程,得

由归一化条件,得

对应于本征值的本征函数为

设对应于本征值的本征函数为

由本征方程

由归一化条件,得

对应于本征值的本征函数为

同理可求得的本征值为。其相应的本征函数分别为

7.4

求自旋角动量方向的投影

本征值和所属的本征函数。

在这些本征态中,测量有哪些可能值?这些可能值各以多大的几率出现?的平均值是多少?

解:在表象,的矩阵元为

其相应的久期方程为

所以的本征值为。

设对应于的本征函数的矩阵表示为,则

由归一化条件,得

可见,的可能值为

相应的几率为

同理可求得

对应于的本征函数为

在此态中,的可能值为

相应的几率为

7.5设氢的状态是

①求轨道角动量z分量和自旋角动量z分量的平均值;

②求总磁矩的z分量的平均值(用玻尔磁矩子表示)。

解:ψ可改写成从ψ的表达式中可看出的可能值为

0

相应的几率为的可能值为

相应的几率为

7.6

一体系由三个全同的玻色子组成,玻色子之间无相互作用。玻色子只有两个可能的单粒子态。问体系可能的状态有几个?它们的波函数怎样用单粒子波函数构成?

解:体系可能的状态有4个。设两个单粒子态为,则体系可能的状态为

7.7

证明和组成的正交归一系。

解:

=0

同理可证其它的正交归一关系。

7.8

设两电子在弹性辏力场中运动,每个电子的势能是。如果电子之间的库仑能和相比可以忽略,求当一个电子处在基态,另一电子处于沿x方向运动的第一激发态时,两电子组成体系的波函数。

解:电子波函数的空间部分满足定态S-方程

考虑到,令

其中,对于基态,对于沿χ方向的第一激发态,两电子的空间波函数能够组成一个对称波函数和一个反对称波函数,其形式为

而两电子的自旋波函数可组成三个对称态和一个反对称态,即

综合两方面,两电子组成体系的波函数应是反对称波函数,即

独态:

三重态:

主要参考书:

[1]

周世勋,《量子力学教程》,高教出版社,1979

[2]

张宏宝编

量子力学教程学习辅导书,高等教育出版社2004.2

第四篇:数字电子钟逻辑电路设计总结报告

课程设计总结报告

写总结报告是对学生写科学论文和科研总结报告的能力训练。总结报告包括以下内容: 1.报告名称

2.内容摘要(<300字)3.设计内容及要求

4.方案比较,画出系统框图,确定使用的方案。

5.单元电路设计、参数计算和器件的选择(含器件功能表)并说明单元电路工作原理。

6.画出完整的电路图 7.安装调试内容,包括:

(1)使用的主要仪器和仪表;(2)调试电路的方法和技巧;

(3)测试的数据和波形,并与计算结果比较分析;(4)调试中出现的故障、原因及排除方法。

8.总结设计电路的特点和方案的优缺点,提出进一步的改进意见和未来的发展。9.列出所用的元器件 10.列出参考文献

第五篇:经济法教程第一章课后习题答案

经济法教程第一章课后习题答案

单选:

BBABBDABCBDDDBDD多选:

DEABCABCABDABCDCDBCDEABCDAD 案例分析:

一、1、未届满。

2、该承诺导致诉讼时效中断,诉讼时效期间重新计算。

3、可以

二、1、可协商、诉讼、仲裁。

2、可提起违约之诉,也可要求解除合同,提起缔约过失合同之诉。

3、可要求其承担违约责任,支付违约金,也可要求撤销合同,承担损害赔偿责任。

下载VHDL数字电路设计教程第六章习题答案word格式文档
下载VHDL数字电路设计教程第六章习题答案.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    资产评估学教程 第四版习题及答案

    二、顺发集团2013年税前利润为1000万元,要求根据下列业务分析计算2013年税务、利润及应交所得税。 1、 超标工资5万元 2、 超标利息支出12万元 3、 国库券收入20万元 4、 罚......

    数字卫星广播习题

    第1章概论 本章思考与练习题 1、数字卫星广播有哪些突出的优点? 2、压缩算化有哪几种关键技术? 3、DVB-S系统发射部分由哪几个部分组成,简述各部分的功能。 第2章DVB-S系统 本......

    2012数字系统设计与VHDL课程大作业(定稿)

    数字系统设计与VHDL课程大作业 具体要求 一、 总体要求: 1. 本课程为专业必选考查类课程,以大作业加平时成绩的形式给定最终成 绩。 2. 每班六个题目,每4-5为一组,自由组合,分工合......

    C语言程序设计教程课后习题答案

    C语言程序设计教程课后习题答案第一章 C语言程序设计概述 -习题答案 1 算法的描述有哪些基本方法?答 1、自然语言 2、专用工具2 C语言程序的基本结构是怎样的?举一个例子说明。......

    完整的delphi程序设计教程课后习题答案

    1.239页1题小时钟 procedure TForm1.Timer1Timer(Sender: TObject); var xt,yt:integer; h,m,s,ms:word; begin decodetime(time,h,m,s,ms); xt:=paintbox1.clientwidth div......

    C语言程序设计教程第九章习题答案

    1、li 45 300.0 chang 30 200.0 chang 2、 #include struct students { char sid[100]; char name[100]; float score; }student; void main { int i; float j; pri......

    交通灯控制电路设计自动化 数字电子技术课程设计

    综 述 本次设计主要分为四个部分,第一部分:信号产生电路;第二部分:电子示电路;第三部分:倒计时设计,第四部分:交通灯及交通灯控制电路 在本次设计中采用555定时器产生CP=1Hz的脉冲信......

    英语专业综合教程课后习题中译英翻译答案

    1.我安排他们在小酒吧见面,但那小伙子一直都没有来。(turn up) 1. I had arranged for them to meet each other at the pub but the young man never turned up. 2你无法仅凭......