基于单片机的数字抢答器的设计及仿真

时间:2019-05-12 03:24:46下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《基于单片机的数字抢答器的设计及仿真》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《基于单片机的数字抢答器的设计及仿真》。

第一篇:基于单片机的数字抢答器的设计及仿真

基于单片机的数字抢答器的设计及仿真

一、摘 要:数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。

关键字: 抢答电路 定时电路 报警电路 时序控制

Summary The figure vies for the answering device by the subject circuit and expands the circuit to make up.Have priority in code circuit , latch , decipher circuit and export the input signal of the entrant team on the display;Starting the warning circuit with the control circuit and host's switch, two the above-mentioned parts make up the subject circuit.Through timing circuit and decipher second signal function while outputs and realizes counting on the displaying that pulse produce circuit, form and expand the circuit.Through connect up , weld , debug figure vie for answering device take shape after the work.Key word: Vie for answering the circuit Timing circuit Warning circuit Time sequence controlling

三、实验部分 1)、设计任务与要求

1.抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。2.设置一个系统清除和抢答控制开关S,该开关由主持人控制。

3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。4.抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。

5.参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

6.如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。2)、实验仪器设备: 1.数字实验箱。

2.集成电路74LS148 1片,74LS279 1片,74LS48 3片,74LS192 2片,NE555 2片,74LS00 1片,74LS121 1片。

3.电阻 510Ω 2只,1KΩ 9只,4.7kΩ l只,5.1kΩ l只,100kΩ l只,10kΩ 1只,15kΩ 1只,68kΩ l只。

4.电容 0.1uF 1只,10uf 2只,100uf 1只。

5.三极管 3DG12 1只。

6.其它:发光二极管2只,共阴极显示器3只

三、方案论证与比较:与普通抢答器相比,本作品有以下几方面优势:

1、具有清零装置和抢答控制,可由主持人操纵避免有人在主持人说“开始”前提前抢答违反规则。

2、具有定时功能,在30秒内无人抢答表示所有参赛选手获参赛队对本题弃权。3、30秒时仍无人抢答其报警电路工作表示抢答时间耗尽并禁止抢答。

四、总体设计思路:

(一)设计任务与要求:

1.抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。2.设置一个系统清除和抢答控制开关S,该开关由主持人控制。

3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

4.抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。

5.参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

6.如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

(二)设计原理与参考电路 1.数字抢答器总体方框图

如图11、1所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

五、多功能硬件与软件设计及其理论分析与计算: 各单元部分电路设计如下:

(1)抢答器电路

参考电路如图2所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于“清除”端时,RS触发器的 端均为0,4个触发器输出置0,使74LS148的 =0,使之处于工作状态。当开关S置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出 经RS锁存后,1Q=1, =1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q=1,使74LS148 =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的 此时由于仍为1Q=1,使 =1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置“清除”然后再进行下一轮抢答。74LS148为8线-3线优先编码器,表1为其功能表。

表1 74LS148的功能真值表

由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计,具体电路如图3所示。表2为74192的真值表。

(3)报警电路

由555定时器和三极管构成的报警电路如图4所示。其中555构成多谐振荡器,振荡频率fo=1.43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。

4)时序控制电路

时序控制电路是抢答器设计的关键,它要完成以下三项功能:

①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。

③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。

根据上面的功能要求以及图 2,设计的时序控制电路如图 5所示。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端。图11、4的工作原理是:主持人控制开关从“清除”位置拨到“开始”位置时,来自于图11、2中的74LS279的输出 1Q=0,经G3反相,A=1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为 1,门G2的输出 =0,使 74LS148处于正常工作状态,从而实现功能①的要求。当选手在定时时间内按动抢答键时,1Q=1,经 G3反相,A=0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出 =1,74LS148处于禁止工作状态,从而实现功能②的要求。当定时时间到时,则“定时到信号”为0,=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能③的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。

六、系统的组装与调试及测试方法:

3块实验电路板分别做成数字抢答器电路、可预置时间的定时电路、报警电路及时序控制电路,根据EWB仿真电路及工程上的可操作性布置芯片、元件、导线等。

在焊接过程中,由于经验不足多次发生虚焊或者相邻焊点接触导致短路等事故,心急时也有小组成员被电烙铁烫伤的事发生。

制作的第一块板即数字抢答电路板一开始测试时不能工作,又由于没有稳压电源而不能检验。情急之下,灵机一动,把3节干电池制成4.5伏电压源,又用万用表逐点排查,原来有虚焊的点。找出原因后并排斥故障后,电路板正常工作。深感欣慰!

制作第2块板即可预置时间的定时电路时,3位成员都已有了自我感觉十分娴熟的焊接技术,不料忙中出错,重蹈覆辙,又有虚焊点。遂相互提醒,前事不忘,后事之师。

七、EWB仿真图:

由于EWB中没有74LS121,并且没有可以代替的74LS221,故时序控制电路的仿真无法完成。

八、仪器: 1.数字实验箱。

2.集成电路74LS148 1片,74LS279 1片,74LS48 3片,74LS192 2片,NE555 2片,74LS00 1片,74LS121 1片。

3.电阻 510Ω 2只,1KΩ 9只,4.7kΩ l只,5.1kΩ l只,100kΩ l只,10kΩ 1只,15kΩ 1只,68kΩ l只。

4.电容 0.1uF 1只,10uf 2只,100uf 1只。5.三极管 3DG12 1只。

6.其它:发光二极管2只,共阴极显示器3只。

九、扩展功能:

1、可以设计声控装置,在主持人说开始时,系统自动完成清零并开始计时的功能。

2、在主持人读题的过程中,禁止抢答,可以在主持人控制的开关上另接一个与图2一样的电路,即可实现“违规者可见”的功能,即在主持人读题时如果有人违反比赛规定抢先按动按钮,显示器可以显示是哪个参赛队抢先,便于作出相应的处理。

如果提供相应的器材及时间上的宽限,我想我们已定可以完成上述扩展功能,进一步完善我们的作品。

十、心得体会:

经历数星期的电子竞赛眼看尘埃落定,感觉忍不住要长出一口气。我们组的3位成员除学习外均有一定的日常工作,数日来,为了这个竞赛可谓废寝忘食,在实验室里日出而作,日落不息。将所有的课余时间均奉献给了这个比赛。

结果怎样已然不再重要,在这几日里,我们经历了阶段性成功的狂喜、测试失败后的绝望、陷入困境时的不知所措,重新投入的振作。这样的比赛是无法孤军作战的,只有通力合作才有可能成功。3位成员在数日里的朝夕相伴中培养出了无与伦比的默契和深厚的友谊。

由于前几次去实验室比较晚,结果没有空余的电脑可供使用,我们商量后,决定早上6点到实验室。于是,在零下的温度下,我们陆续到达。途中数次感叹,早晨的空气真好。

除此之外,我们学会了焊接电路板,掌握了书本以外的电子技术知识,培养了专心致志的工作学习习惯,懂得了相互之间的理解与体谅,可谓获益匪浅。

如果非要用一句话来概括我们的体会的话,那只能是:痛并快乐着。

十一、致谢:

感谢电气工程学院提供者次比赛的机会;感谢长通公司提供电子器件;感谢电子实习基地提供场所及工具;感谢电子信息系主任王建元老师在我们陷入困境时的点拨;感谢我队指导于建立同学对我们的切实指导;感谢02级学长学姐们在实验室对我们的帮助与鼓励。

十二、参考文献:

1、《电子技术基础.数字部分(第四版)》

高等教育出版社2003年3月 主编:康华光

2、《74系列芯片手册》

重庆大学出版社 1999年9月 主编:李海

图11、1数字抢答器框图

表10、1

11、2 数字抢答器电路

74LS148的功能真值表

2)定时电路

图11、3 可预置时间的定时电路

图11、4 报警电路

图 11、5 时序控制电路

第二篇:单片机课程设计(基于多路数字抢答器)(范文)

一、概述

大学四年的学习生活中,会遇到各种各样的竞赛,抢答器便成为了主要的工具之一。而现在的抢答器智能化越来越强,这必然会提高抢答器的成本。本抢答器与其他抢答器电路相比较成本低、制作方便,并且还有作弊显示功能。因此,这款四路抢答器摒弃了成本高、体积大、操作复杂等不足。我们采用了数字显示器直接指示,因而本抢答器具有显示直观,操作简单的特点。

二、主要功能及技术指标

抢答器的工作原理是用矩阵式键盘进行抢答。采用动态显示组号。主持人按下开始抢答键才可以抢答。主持人没有按下开始抢答按纽(P3.2),有人抢答则抢答违规,报警并显示组号,主持人按下开始抢答开关重新抢答。主持人按下开始抢答按纽(P3.2),蜂鸣响声提示,且数码管10秒倒计时(10秒内抢答有效),有人在10秒抢答,蜂鸣器响声提示并显示他的组号,3秒开始20秒倒计时(20秒内必须回答完问题)。20秒后主持人按下复位开关为下一题的抢答做准备。单片机最小系统、抢答按键模块(四位并行数码显示、1*4矩阵式键盘)、显示模块、抢答开关模块、蜂鸣器输出模块。

三、系统组成及原理

1、分图

四、软件

1、分配流程图

初始化开始

20秒回答问题时间到并响声提示调用读键子程序作为延时程序设定定时器初值并启动定时器中断条件是否满足Y开中断并响声提示NN读键盘是否有键按下Y调用显示抢答违规并报警子程序报警提示编号10秒抢答倒计时开始显示显示违规者编号是否有按键按下NY调用抢答者获得回答的子程序10到时中断并返回响声提示设定定时器初值并启动显示抢答者并且3秒后倒计时RET3

2、源程序

ORG 0000H LJMP MAIN ORG 0013H LJMP ESS1 ORG 0100H MAIN:SETB EA SETB EX1 SETB IT1;外部中断1初始化 L16:MOV P1,#0FFH MOV R2,#00H CLR P1.0 INC R2 JB P1.4,L0 LCALL DE0 L0:INC R2 JB P1.5,L1 LCALL DE0 L1:INC R2 JB P1.6,L2 LCALL DE0 L2:INC R2 JB P1.7,L3 LCALL DE0 L3:SETB P1.0 CLR P1.1 INC R2 JB P1.4,L4 LCALL DE0 L4:INC R2 JB P1.5,L5 LCALL DE0 L5:INC R2 JB P1.6,L6 LCALL DE0 L6:INC R2 JB P1.7,L7 LCALL DE0 L7:SETB P1.1 CLR P1.2 INC R2 JB P1.4,L8 LCALL DE0 L8:INC R2

JB P1.5,L9 LCALL DE0 L9:INC R2 JB P1.6,L10 LCALL DE0 L10:INC R2 JB P1.7,L11 LCALL DE0 L11:SETB P1.2 LJMP L16;读行列式键盘 ESS1:MOV 70H,#30D;外部中断1 MOV R7,#0CH CLR P3.0 S2:LCALL DELAY DJNZ 70H,S2 SETB P3.0;蜂鸣器提示开始抢答 MOV TMOD,#00010000B MOV R3,#0AH L20:MOV 55H,#14H L19:MOV TH0,#3CH MOV TL0,#0B0H;定时器1初始化 SETB TR1;启动定时器1 MOV A,R3 MOV B,#0AH DIV AB MOV DPTR,#TAB MOVC A,@A+DPTR MOV 53H,A CLR P2.4 MOV P0,53H LCALL DELAY1 SETB P2.4 MOV A,B MOVC A,@A+DPTR MOV 54H,A CLR P2.5 MOV P0,54H LCALL DELAY1 SETB P2.5 L18:JNB TF1,L18 CLR TF1 DJNZ 55H,L19 DEC R3 CJNE R7,#00H,D6

LJMP D5 D6:CJNE R3,#0FFH,L21 LJMP L22 L21:LJMP L20;抢答倒计时 L22:MOV 73H,#02D S5:MOV 70H,#20D MOV 71H,#20H CLR P3.0 S4:LCALL DELAY DJNZ 70H,S4 SETB P3.0 S6:LCALL DELAY DJNZ 71H,S6 DJNZ 73H,S5;抢答倒计时时间到声音提示 D5:RETI DE0:MOV DPTR,#TAB;抢答违规报警并显示抢答违规组号 MOV A,R2 MOV B,#0AH S10:MOV 72H,#20D MOV 73H,#10D CLR P3.0 S8:LCALL LCC DJNZ 72H,S8 SETB P3.0 S9:LCALL LCC DJNZ 73H,S9 DJNZ 71H,S10 L17:LCALL LCC LJMP L17 LCC:CLR P2.4 MOV P0,50H LCALL DELAY DELAY1:MOV P1,#0FFH;正常抢答读键 MOV R4,#250D W17:MOV R2,#00H CLR P1.0 INC R2 JB P1.4,W0 LCALL DE1 W0:INC R2 JB P1.5,W1 LCALL DE1 W1:INC R2 JB P1.6,W2 LCALL DE1 W2:INC R2 JB P1.7,W3 LCALL DE1 W3:SETB P1.0 CLR P1.1 INC R2 JB P1.4,W4 LCALL DE1 W4:INC R2 JB P1.5,W5 LCALL DE1 W5:INC R2 JB P1.6,W6 LCALL DE1 W6:INC R2 JB P1.7,W7 LCALL DE1 W7:SETB P1.1 CLR P1.2 INC R2 JB P1.4,W8 LCALL DE1 W8:INC R2 JB P1.5,W9 LCALL DE1 W9:INC R2 JB P1.6,W10 LCALL DE1 W10:INC R2 JB P1.7,W15 LCALL DE1 W15:SETB P1.3 DJNZ R4,W16 LJMP W18 W16:LJMP W17 W18:RET DE1:MOV P1,#0FFH;MOV 70H,#20D CLR P3.0 S3:LCALL DELAY DJNZ 70H,S3 SETB P3.0 SETB P2.0 抢答成功声音提示及回答问题时间20秒倒计时7

SETB P2.1 MOV DPTR,#TAB MOV A,R2 MOV B,#0AH DIV AB MOVC A,@A+DPTR MOV 56H,A MOV A,B MOVC A,@A+DPTR MOV 57H,A MOV TMOD,#00000001B MOV R5,#16H L32:MOV R6,#14H L31:MOV TH0,#3CH MOV TL0,#0B0H SETB TR0 CLR P2.4 MOV P0,56H LCALL DELAY SETB P2.4 CLR P2.5 MOV P0,57H LCALL DELAY SETB P2.5 CJNE R5,#14H,L34 LJMP L35 L34: JC L35 LJMP L30 L35: MOV A,R5 MOV B,#0AH DIV AB MOVC A,@A+DPTR MOV 58H,A MOV A,B MOVC A,@A+DPTR MOV 59H,A CLR P2.6 MOV P0,58H LCALL DELAY SETB P2.6 CLR P2.7 MOV P0,59H LCALL DELAY SETB P2.7 L30:JNB TF0,L30 CLR TF0 DJNZ R6,L31 DEC R5 CJNE R5,#0FFH,L32 MOV P1,#0FFH MOV 70H,#50D CLR P3.0 S7:LCALL DELAY DJNZ 70H,S7 SETB P3.0 MOV P2,#0FFH MOV R3,#00H MOV R7,#00H RET DELAY:MOV 51H,#10D;延时子程序 D0:MOV 52H,#248D D1:DJNZ 52H,D1 DJNZ 51H,D0 RET TAB:DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH END

五、分析

这次实训遗憾的是,我的实训材料是买的成品的板,没有自己去焊制自己的实训板,当然这节省了一些时间,但是,在原理图上确实也花费了一些时间。对于四路抢答器的程序方面,也有需要改进的地方,有很多的不合适的地方,比如,在违规抢答倒计时方面,当你违规抢答时,也会出现20秒的倒计时,和正常抢答的一样。所以,在以后的编程和调试过程中还应该注意这种小的毛病。

六、体会

本次实训使我对单片机有课更加深入的了解,以及对汇编语言的编程有了更进一步的体会,知道在编程的过程中会有大量的错误产生,一次一次的修改,有时真的比较麻烦。在最初读程序的过程中,也遇到过大量的程序读不懂,在编程的过程中,确实话费了很多的时间。

在此我非常要感谢的是各位知道老师不遗余力的指导,同样也非常感谢同学的帮助,在我不懂程序时帮助我完善程序。这次实训能够顺利的完成,当然与我个人的努力也是分不开的。

七、参考文献

[1]张鑫《单片机原理及应用》电子工业出版社2010年

[2]李泉溪《单片机原理与应用实例仿真》北京航天航空大学出版社 2009年

[3] 张洪润《单片机应用设计200例》北京航空航天大学出版社2006年 [4] 万光毅《单片机实验与实践教程》北京航天航空大学出版社2003年

第三篇:基于51单片机的抢答器设计

1.系统设计

1.1设计要求

1、需要自己设计电路并焊接电路板。

2、基本要求:有一个主持人控制开关和6路抢答按扭,当主持人允许抢答时才能进行抢答操作,并用一个数码管显示抢答选手的号码,同时其他选手不能再抢答。

3、当主持人允许抢答后倒计时5秒时间,在这5秒内抢答有效,过后就不能进行抢答了。

4、电路上要加个蜂鸣器的电路,当有选手抢答时蜂鸣器响一下。

5、当有选手抢答后,进行倒计时20秒作为选手回答问题时间,用两个数码管显示,倒计时时间到时有声光提示。

6、画电路板时要增加下载接口,方便调试程序。

7、扩展功能(选做),8、用按键可设置倒计时的时间,范围在5秒到30秒之间。

1.2设计原理

1.3总体思路

以AT89S52单片机为核心的单片机,通过相应的程序,并且通过按键来进行电平识别,再由单片机输出相应的程序,并将相应数值通过数码管来显示。

1.4设计方案 通过平时所学知识、查找资料和老师的答疑。我得到了正确的交通灯原理图,在正确的电路图前提下进行编程把程序烧到52芯片里,再把芯片连接到电路板上通过52芯片实现程序功能,实现步骤如下:通过P1.0到P1.7分别控制按键一到八,P0控制位选,P2.3控制段选,这样就能基本达到了老师给我们抢答器 的要求了。

2、模块电路的设计

2.1 2.1 数码管显示模块

由于单片机的P0口没有上拉电阻,当它做为输出时,需要对其接上拉电阻。因为P0口做为数码管的段选输出,所以要在P0口接一个1K的排阻。P2口做为数码管的位选,任选其4个IO口接线,就可以了,然后利用软件来控制四个数码管的亮灭。

2.2 八个选手按键输入

当按键按下时,对P1口输入低电平,通过程序读取低电平的IO口,然后进行锁存,对数码管做相应的输出,提供抢答选手号数。

2.3 蜂鸣器输出

蜂鸣器电路是利用NPN开关电路,当基极为高电平时,三极管导通;当为低电平时,三极管截止。利用这一特性,可以画出蜂鸣器的电路图

3、程序编写调试过程 #include #define uchar unsigned char #define uint unsigned int

位定义

sbit s1=P3^0;sbit led=P3^3;sbit fmq=P3^4;段码表 uchar tab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0X07,0x7f,0x6f};

定义字符型变量

uchar flag1,flag2,num,m1,m,m2,t;延时程序

void ys(uchar z)

{ uchar i,j;for(i=z;i>0;i--)for(j=0;j<150;j++);}

void uinit()//初始化

{ fmq = 0;TMOD=0X01;TH0=(65536-50000)/256;TL0=(65536-50000)%256;EA=1;ET0=1;num=0;m2=0;m1=0;led=0;flag2=1;}

void timer0()interrupt 1 { TH0=(65536-50000)/256;TL0=(65536-50000)%256;t++;if(t==20&&flag2==0){ t=0;

if(m1==0)

{

TR0=0;

flag2=1;

//flag1=0;

led=1;

fmq=1;

}

else m1--;

} if(t==20&&flag1==1&&flag2==1){ t=0;

m1=m%10;

m2=m/10;

if(m==0)

{

m=0;

led=1;

fmq=1;

}

else {m--;led=0;fmq=0;}

} }

void key(){

if(s1==0)

{

ys(10);

if(s1==0)

{

flag1=1;m1=5;flag2=0;num=0;led=0;m2=0;TR0=1;fmq=0;

}

}

if(flag2==0)

{

switch(P1)

{

case 0xfe:{TR0=0;num=1;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xfd:{TR0=0;num=2;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xfb:{TR0=0;num=3;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xf7:{TR0=0;num=4;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xef:{TR0=0;num=5;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xdf:{TR0=0;num=6;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xbf:{TR0=0;num=7;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0x7f:{TR0=0;num=8;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

}

} } main(){

uchar k;uinit();while(1){

key();

k=0;

switch(k)

{

case 0:{P2=0XFe;P0=tab[m2];ys(5);}

case 1:{P2=0XFd;P0=tab[m1];ys(5);}

case 2:{P2=0XFb;P0=0x40;ys(5);}

case 3:{P2=0XF7;P0=tab[num];ys(5);}

}

}

}

4、焊接制作与调试过程

焊接制作过程:这次我们用的是万用板,直接焊接。先把器件布局,布局好以后,再把各个几件焊上去,最后再根据PCB图用绝缘线或者焊锡把各个器件,芯片连接起来。

调试过程:把程序烧进芯片后开始接电源,看看结果。如果出现乱码 哪检查线路没问题后 就看看程序的接口有没有错,主要问题就是在接口上。

5、心得体会

通过这次实训,我不仅提高了Keil uVision3 , Protel 99 SE这些应用程序的运用,还让我懂得了如何编写一些简单的程序,学会了如何制作单片机应用程序,还有焊接和程序固化,这几天我经过老师耐心的讲解和指导通过自己认真的动手操作,终于将一个完整的装有程序的单片机芯片版制作出来了。但在实训中间暴露出很多问题:对平时上课讲的理论知识没有完全掌握消化,到了实际操作中还得请教老师和同学,在焊接中焊接的基本工夫掌握不到家,手上工夫还是很欠缺的,使得电路板不是很美观。这些问题的发现,有助于提高我在以后的工作和学习中对此类问题的认识,确保不在同一问题上再次犯错。

给我的一个最大的感触就是一个好的电工不应该只会接线,而是会发现错误,改正错误。这次实训不仅加深了我们对知识的理解,更重要的是提高了我们的动手能力,增强了我对电工学习的热爱,增加了学习的动力和兴趣。

6、参考文献

1.《单片机C语言编程与实例》赵亮、侯国瑞 人民邮电出版社 2.《例说8051》 张义和编著 人民邮电出版社

7.附录(程序和原理图)1PCB图

2原理图 源程序

#include #define uchar unsigned char #define uint unsigned int

sbit s1=P3^0;sbit led=P3^3;sbit fmq=P3^4;

uchar tab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0X07,0x7f,0x6f};uchar flag1,flag2,num,m1,m,m2,t;

void ys(uchar z)

{ uchar i,j;for(i=z;i>0;i--)for(j=0;j<150;j++);}

void uinit()

//初始化

{

fmq = 0;TMOD=0X01;TH0=(65536-50000)/256;TL0=(65536-50000)%256;EA=1;ET0=1;num=0;m2=0;m1=0;led=0;flag2=1;}

void timer0()interrupt 1 { TH0=(65536-50000)/256;TL0=(65536-50000)%256;t++;if(t==20&&flag2==0){ t=0;

if(m1==0)

{

TR0=0;

flag2=1;

//flag1=0;

led=1;

fmq=1;

}

else m1--;

} if(t==20&&flag1==1&&flag2==1){ t=0;

m1=m%10;

m2=m/10;

if(m==0)

{

m=0;

led=1;

fmq=1;

}

else {m--;led=0;fmq=0;}

} }

void key(){

if(s1==0)

{

ys(10);

if(s1==0)

{

flag1=1;m1=5;flag2=0;num=0;led=0;m2=0;TR0=1;fmq=0;

}

}

if(flag2==0)

{

switch(P1)

{

case 0xfe:{TR0=0;num=1;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xfd:{TR0=0;num=2;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xfb:{TR0=0;num=3;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xf7:{TR0=0;num=4;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xef:{TR0=0;num=5;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xdf:{TR0=0;num=6;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0xbf:{TR0=0;num=7;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

case 0x7f:{TR0=0;num=8;flag2=1;m=20;led=1;fmq=1;TR0=1;};break;

}

} } main(){

uchar k;uinit();while(1){

key();

k=0;

switch(k)

{

case 0:{P2=0XFe;P0=tab[m2];ys(5);}

case 1:{P2=0XFd;P0=tab[m1];ys(5);}

case 2:{P2=0XFb;P0=0x40;ys(5);}

case 3:{P2=0XF7;P0=tab[num];ys(5);}

}

}

}

第四篇:数字抢答器(数字电路)

一、摘 要:数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。

关键字: 抢答电路 定时电路 报警电路 时序控制

Summary The figure vies for the answering device by the subject circuit and expands the circuit to make up.Have priority in code circuit , latch , decipher circuit and export the input signal of the entrant team on the display;Starting the warning circuit with the control circuit and host's switch, two the above-mentioned parts make up the subject circuit.Through timing circuit and decipher second signal function while outputs and realizes counting on the displaying that pulse produce circuit, form and expand the circuit.Through connect up , weld , debug figure vie for answering device take shape after the work.Key word: Vie for answering the circuit Timing circuit Warning circuit Time sequence controlling

二、目 录

摘要„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ Ⅰ

Abstract„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„Ⅱ

一、引论„„„„„„„„„„„„„„„„„„„„„„„„„„„„1

三、实验部分 1)、设计任务与要求

1.抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。2.设置一个系统清除和抢答控制开关S,该开关由主持人控制。

3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。4.抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。

5.参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

6.如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。2)、实验仪器设备: 1.数字实验箱。

2.集成电路74LS148 1片,74LS279 1片,74LS48 3片,74LS192 2片,NE555 2片,74LS00 1片,74LS121 1片。

3.电阻 510Ω 2只,1KΩ 9只,4.7kΩ l只,5.1kΩ l只,100kΩ l只,10kΩ 1只,15kΩ 1只,68kΩ l只。

4.电容 0.1uF 1只,10uf 2只,100uf 1只。

5.三极管 3DG12 1只。

6.其它:发光二极管2只,共阴极显示器3只

三、方案论证与比较:与普通抢答器相比,本作品有以下几方面优势:

1、具有清零装置和抢答控制,可由主持人操纵避免有人在主持人说“开始”前提前抢答违反规则。

2、具有定时功能,在30秒内无人抢答表示所有参赛选手获参赛队对本题弃权。3、30秒时仍无人抢答其报警电路工作表示抢答时间耗尽并禁止抢答。

四、总体设计思路:

(一)设计任务与要求:

1.抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。2.设置一个系统清除和抢答控制开关S,该开关由主持人控制。

3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

4.抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。

5.参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

6.如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

(二)设计原理与参考电路 1.数字抢答器总体方框图

如图11、1所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

五、多功能硬件与软件设计及其理论分析与计算: 各单元部分电路设计如下:

(1)抢答器电路

参考电路如图2所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于“清除”端时,RS触发器的 端均为0,4个触发器输出置0,使74LS148的 =0,使之处于工作状态。当开关S置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出 经RS锁存后,1Q=1, =1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q=1,使74LS148 =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的 此时由于仍为1Q=1,使 =1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置“清除”然后再进行下一轮抢答。74LS148为8线-3线优先编码器,表1为其功能表。

表1 74LS148的功能真值表

由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计,具体电路如图3所示。表2为74192的真值表。

(3)报警电路

由555定时器和三极管构成的报警电路如图4所示。其中555构成多谐振荡器,振荡频率fo=1.43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。

4)时序控制电路

时序控制电路是抢答器设计的关键,它要完成以下三项功能:

①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。

③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。

根据上面的功能要求以及图 2,设计的时序控制电路如图 5所示。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端。图11、4的工作原理是:主持人控制开关从“清除”位置拨到“开始”位置时,来自于图11、2中的74LS279的输出 1Q=0,经G3反相,A=1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为 1,门G2的输出 =0,使 74LS148处于正常工作状态,从而实现功能①的要求。当选手在定时时间内按动抢答键时,1Q=1,经 G3反相,A=0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出 =1,74LS148处于禁止工作状态,从而实现功能②的要求。当定时时间到时,则“定时到信号”为0,=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能③的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。

六、系统的组装与调试及测试方法:

3块实验电路板分别做成数字抢答器电路、可预置时间的定时电路、报警电路及时序控制电路,根据EWB仿真电路及工程上的可操作性布置芯片、元件、导线等。

在焊接过程中,由于经验不足多次发生虚焊或者相邻焊点接触导致短路等事故,心急时也有小组成员被电烙铁烫伤的事发生。

制作的第一块板即数字抢答电路板一开始测试时不能工作,又由于没有稳压电源而不能检验。情急之下,灵机一动,把3节干电池制成4.5伏电压源,又用万用表逐点排查,原来有虚焊的点。找出原因后并排斥故障后,电路板正常工作。深感欣慰!

制作第2块板即可预置时间的定时电路时,3位成员都已有了自我感觉十分娴熟的焊接技术,不料忙中出错,重蹈覆辙,又有虚焊点。遂相互提醒,前事不忘,后事之师。

七、EWB仿真图:

由于EWB中没有74LS121,并且没有可以代替的74LS221,故时序控制电路的仿真无法完成。

八、仪器: 1.数字实验箱。

2.集成电路74LS148 1片,74LS279 1片,74LS48 3片,74LS192 2片,NE555 2片,74LS00 1片,74LS121 1片。

3.电阻 510Ω 2只,1KΩ 9只,4.7kΩ l只,5.1kΩ l只,100kΩ l只,10kΩ 1只,15kΩ 1只,68kΩ l只。

4.电容 0.1uF 1只,10uf 2只,100uf 1只。5.三极管 3DG12 1只。

6.其它:发光二极管2只,共阴极显示器3只。

九、扩展功能:

1、可以设计声控装置,在主持人说开始时,系统自动完成清零并开始计时的功能。

2、在主持人读题的过程中,禁止抢答,可以在主持人控制的开关上另接一个与图2一样的电路,即可实现“违规者可见”的功能,即在主持人读题时如果有人违反比赛规定抢先按动按钮,显示器可以显示是哪个参赛队抢先,便于作出相应的处理。

如果提供相应的器材及时间上的宽限,我想我们已定可以完成上述扩展功能,进一步完善我们的作品。

十、心得体会:

经历数星期的电子竞赛眼看尘埃落定,感觉忍不住要长出一口气。我们组的3位成员除学习外均有一定的日常工作,数日来,为了这个竞赛可谓废寝忘食,在实验室里日出而作,日落不息。将所有的课余时间均奉献给了这个比赛。

结果怎样已然不再重要,在这几日里,我们经历了阶段性成功的狂喜、测试失败后的绝望、陷入困境时的不知所措,重新投入的振作。这样的比赛是无法孤军作战的,只有通力合作才有可能成功。3位成员在数日里的朝夕相伴中培养出了无与伦比的默契和深厚的友谊。

由于前几次去实验室比较晚,结果没有空余的电脑可供使用,我们商量后,决定早上6点到实验室。于是,在零下的温度下,我们陆续到达。途中数次感叹,早晨的空气真好。

除此之外,我们学会了焊接电路板,掌握了书本以外的电子技术知识,培养了专心致志的工作学习习惯,懂得了相互之间的理解与体谅,可谓获益匪浅。

如果非要用一句话来概括我们的体会的话,那只能是:痛并快乐着。

十一、致谢:

感谢电气工程学院提供者次比赛的机会;感谢长通公司提供电子器件;感谢电子实习基地提供场所及工具;感谢电子信息系主任王建元老师在我们陷入困境时的点拨;感谢我队指导于建立同学对我们的切实指导;感谢02级学长学姐们在实验室对我们的帮助与鼓励。

十二、参考文献:

1、《电子技术基础.数字部分(第四版)》

高等教育出版社2003年3月 主编:康华光

2、《74系列芯片手册》

重庆大学出版社 1999年9月 主编:李海

图11、1数字抢答器框图

表10、1

11、2 数字抢答器电路

74LS148的功能真值表

2)定时电路

图11、3 可预置时间的定时电路

图11、4 报警电路

图 11、5 时序控制电路

第五篇:数字逻辑数字抢答器

《数字逻辑》课程设计

实验报告

学号:

姓名:

班级:

指导老师:

一、简要说明

在进行智力竞赛抢答时,需要参赛者分成若干组进行抢答,究竟是谁先谁后单凭主持人的眼睛是很难判断的;在提问或回答时,往往都要有时间限制;另外,犯规要发出一种特殊的信号,以便主持人看得清、听得到。要完成上述功能,需要一种“抢答器”。

二、任务和要求

1、设计要求

(1)抢答开始后,抢答器能准确地判断出抢先者。并发出声光报警,3秒后自动熄灭。(2)抢答器具有互锁功能,某组抢答后能自动封锁其他各组的抢答信号。

(3)抢答具有限时功能。显示档次分别为5s、10s、15s,时间到时发出声响,同时,时间要用数码管显示出来。

(4)抢答者犯规或违章(主持人未说“开始抢答”时,参赛者抢先按钮)时,应自动发出警告信号,以指示灯闪为标志。(5)系统应具有一个总复位开关。

2、采用的器件:

74273,74160,74244,7446,相应的门器件;软件:maxplus2。

三、设计思想、1、电路结构

根据总体设计方案,将智力竞赛抢答器划分成四个子系统和一个控制器。输入子系统由抢答键和锁存电路组成;时间显示子系统由计时电路、动态扫描译码电路和LED数码管组成;席位指示子系统由席位灯驱动电路、发光二极管组成;时钟子系统由时钟信号源、分频器组成;控制器由启动键、启动电路、判断电路和复位电路组成。

2、输入、输出信号特征

智力竞赛抢答器的输入信号是由启动键和抢答键产生。启动键和抢答键可产生短暂的单步脉冲信号。输出信号是席位指示灯驱动信号和LED数码管驱动信号。前者高点平有效,后者低电平有效。

3、主要技术性能指标(1)选手席位数量:8个

(2)席位指示灯:LED发光二极管。正常抢答时灯亮,犯规抢答时灯闪。(3)强大时间范围:0s~30s(4)时间显示方式:LED数码管,两位,共阴极,动态扫描。(5)抢答速度识别时间:1/1000s(6)按键锁定方式:自动(7)复位方式:自动,延迟时间为9s

四、设计步骤:

1、启动电路:

启动电路由D触发器和与门组成。主持人按下启动键时,从START端输入一个脉冲,D触发器被置成1状态,即Q=1,表示选手可以抢答,同时计时电路开始计数,若主持人未按下启动键就有选手抢答,F=1,表示抢答犯规,经反相后时候使S=0,启动电路处于保持状态,再按启动键无效。/CLRN为清零端,与复位电路输出端/END9连接,低电平有效。电路图如下所示:

2、锁存电路:

由74273和与门组成。D1~D8与抢答键电路输出端连接,输出端Q1~Q8与席位灯驱动电路连接。S连接判断电路输出端/OFF。没有抢答,OFF=1,S=1,74273工作在置数状态。D1~D8对应的有一个为1,在CLK作用下,被置入触发器。OFF=0,74273处于保持状态。时钟信号频率为1HZ,抢答速度识别为1/1000。低电平有效。电路图如下:

3、判断电路:

判断电路由若干个门电路组成。输出端D1~D8与锁存电路端输出端Q1~Q8连接。使能端S连接启动电路输出端Q。Q=1时,S=Q=1.若D1~D8中有1状态,则T=1,F=0,表示被锁存的信号是抢答信号。T=1,计时电路停止计数;Q=0时,S=0,若D1~D8中有1状态,则T=0,F=1,表示被锁存的信号是犯规信号。T=1或F=1输出端/OFF状态均为0,使锁存电路处于保持状态,而此时复位电路则为计数状态。电路图如下:

4、席位驱动电路:

由若干与门和或门组成。A1~A8与锁存电路Q1~Q8相连。L1~L8与8个二极管连 接。CLK接入1HZ的时钟信号。T=1,S1=1, A1~A8中有一个输入为1,对应的输出状态也是1,发光二极管亮;F=1,S2=1,状态为1的输入端所对应的输出端输出1HZ的时钟信号,发光二极管闪烁。电路图如下:

5、计时电路:

两片74160连接成一个同步三十进制加法计数器,输出端与动态扫描译码电路连接。使能端S,STOP和/CLRN分别连接启动电路输出端Q、判断电路输出端T和复位电路输出端/END9。按下启动键时,Q=1,T=0,/END9=1,则S=Q=1,STOP=T=0,/CLRN=/END9=1,电路处于计数状态,对CLK端输入的1HZ 时钟信号进行计数,Q6~Q1端依次输出000000(00)~110000(30)。计数到110000(30s)时,END30端状态由0跳变为1,电路进入保持状态,同时启动复位电路。若在计数过程中有选手抢答,则T=1,STOP=T=1,计时电路停止计数,进入保持状态。/CLRN为清零端,与复位电路输出端/END9连接,低电平有效。电路图如下所示:

6、动态扫描译码电路:

由74244和DEL(自定义)组成,输入端D1~D 6与计时电路输出端Q6~Q1连接,输出端QA~QG与两个七段LED数码管阴极连接,E1、E2分别与秒个位和秒十位数码管的阳极连接。74244输出由CLk输入的1HZ时钟信号控制,低电平输出高4位数据,高电平输出低4位数据,并经DEL进行译码,驱动两个数码管显示00~30s。

DEL代码: SUBDESIGN del(num[3..0]:INPUT;a,b,c,d,e,f,g:OUTPUT;)BEGIN TABLE num[3..0]=>a,b,c,d,e,f,g;

H“0”=>1,1,1,1,1,1,0;H“1”=>0,1,1,0,0,0,0;H“2”=>1,1,0,1,1,0,1;H“3”=>1,1,1,1,0,0,1;H“4”=>0,1,1,0,0,1,1;H“5”=>1,0,1,1,0,1,1;H“6”=>1,0,1,1,1,1,1;H“7”=>1,1,1,0,0,0,0;H“8”=>1,1,1,1,1,1,1;H“9”=>1,1,1,1,0,1,1;H“A”=>1,1,1,0,1,1,1;H“B”=>0,0,1,1,1,1,1;H“C”=>1,0,0,1,1,1,0;H“D”=>0,1,1,1,1,0,1;H“E”=>1,0,0,1,1,1,1;H“F”=>1,0,0,0,1,1,1;END TABLE;END;电路图如下:

7、复位电路:

74160接成一个同步九进制加法计数器。电路的工作状态由判断电路输出端输出端T,F和计时电路输出端END9控制。这三个输出端的状态只要有一个为1,使/CLRN=1,电路对CLK 端输入的1HZ时钟信号计数。第九个脉冲到达时,输出端/END9状态由1变为0,用其将启动电路、锁存电路和计时电路复位。若T,F和END9的状态全为0,/CLRN=0,电路复位,不工作。电路图如下所示:

8、分频器:

通过分频器将频率分为1HZ和1KHZ。利用代码来写。module fdiv(clk,clk1khz,clk1hz);input clk;output clk1khz,clk1hz;reg clk1khz,clk1hz;integer cnt1;integer cnt2;always @(posedge clk)begin //if(ct1<9999)if(cnt1<19)begin cnt1 = cnt1 + 1;clk1khz<=1'b0;end else begin cnt1 = 0;clk1khz<= 1'b1;end end always @(posedge clk1khz)begin //if(cnt2<999)if(cnt2<9)begin cnt2 = cnt2 + 1;clk1hz<= 1'b0;end else begin cnt2 = 0;clk1hz<= 1'b1;end end endmodule 电路图如下:

9、总电路图:

五、模拟结果及分析:

1、模拟结果:

2、电路工作原理:

主持人按下启动键后,启动电路输出信号Q为高电平,计时电路开始对1HZ时钟信号进行计数,经过译码后显示计时时间(00s~30s)。当某选手按下抢答键时(高电平有效),该信号被锁存电路保存起来,并经过席位灯驱动电路输出高电平,对应的发光二极管亮,表示正常抢答。同时,判断电路输出信号T为高电平,使计时电路停止计数,锁存电路处于保持状态,其他各组选手再按键无效。若没有选手按下抢答键,计时到30s时,计时自动停止,输出信号END30为高电平;如果启动键未按下,某选手就按下抢答键,锁存电路将该信号保存,并经过席位灯驱动电路输出1HZ时钟信号,对应的发光二极管闪烁,表示抢答犯规,同时判断电路输出信号F为高电平,使锁存电路、启动电路处于保持状态,再按抢答键、启动键无效。

复位电路工作状态由判断电路和计时电路控制。当输出信号T,F或END30有一个为高电平时,电路处于计时状态,经过9s延时,/END9端输出一个低电平信号,将启动电路、锁存电路和计时电路复位。分频器可将时钟信号源输出的高频率时钟信号分频为1HZ和1KHZ时钟信号,1HZ时钟信号用于计时和席位闪烁,1KHZ时钟信号用于动态扫描译码控制和抢答速度识别。

六、心得体会:

数字抢答器是我们第一次接触计算机硬件自己设计的数字系统,将理论应用到实践中,经历了从茫然到查阅资料最终豁然开朗的过程。

抢答器主要包括了74160,74244,自定义的分频器以及del和门器件组成,考虑了 在抢答过程中遵守规则或者犯规,而且当有选手答题时,再抢答则对应的灯就不会亮。

我觉得做课程设计一定要细心,认真,有耐心,有恒心。不放过任何一个细节,电路图做到分毫不差才能仿真出想要的结果!

经过此次课程设计,对本门课有了进一步的了解,对MAX+plus软件的使用有了更好的认识,更好的锻炼了动手能力,更好的了解了EDA-V实验箱的功能。相信这对我们以后的学习生活都有很大的帮助。

下载基于单片机的数字抢答器的设计及仿真word格式文档
下载基于单片机的数字抢答器的设计及仿真.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    单片机课程设计8路竞赛抢答器

    课程设计任务书 1 设计内容 ⒈设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号......

    单片机课程设计 智力抢答器摘要范文大全

    摘要 硬件系统设计 1)控制系统主要由单片机应用电路、存储器接口电路、显示接口电路组成。其中单片机AT89C51是系统工作的核心,它主要负责控制各个部分协调工作. 2)硬件组成及......

    基于单片机的数字温度计设计课程设计

    基于单片机的数字温度计设计引言随着现代信息技术的飞速发展和传统工业改造的逐步实现.能够独立工作的温度检测和显示系统应用于诸多领域。传统的温度检测以热敏电阻为温度敏......

    基于51单片机数字温度计设计课程设计

    课题:基于51单片机数字温度计设计专业:电子信息工程班级:班学号:姓名:指导教师:设计日期:成绩:XX大学XX学院电气学院基于51单片机数字温度计设计一、设计目的1、掌握单片机电路的设......

    数字电路课程设计--数字抢答器(合集5篇)

    智力竞赛抢答器 一、本次课程设计目的 1.结合所学的数字电路的理论知识来完成数字电路课程设计。 2.在数字电路的课程设计中,熟悉数字电路的逻辑设计过程以及集成电路的使用。......

    单片机课设--八路抢答器(5篇可选)

    河南理工大学本科课程设计 智力竞赛抢答器 摘要 设计要求:1) 能容许2-8组进行抢答。2) 能显示抢答组号。3) 各组记分,并能记分显示。4) 比赛结束时,能发出报警声。 硬件系统设计......

    单片机课设_AT89C51八路抢答器

    《单片机原理及应用》 课程设计 题 目∶ 院 系∶ 专业班级∶ 姓 名∶ 学 号∶ 指导教师∶ 成 绩∶ 流水灯 机电工程系 机电一体化机电0911 晓寒 21 沈全鹏 2011年 12 月 日......

    基于Freescale HCS12系列单片机设计和实现抢答器外文翻译

    外文资料原文 Design and Implement of Responder Based on Freescale HCS12 Single Chip Microcomputer Responder is also known as the first signal discriminator, whi......