数电课程设计

时间:2019-05-14 21:02:25下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《数电课程设计》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《数电课程设计》。

第一篇:数电课程设计

数字电子技术课程设计报告

题目:自动浇花系统

班级:

目录

一、设计任务要求———————1

二、方案设计与论证——————2 三、四、五、六、七、各单元电路设计与分析————————————————3 总体电路原理图及元器件清单—————————————7 电路仿真及仿真结果分析———————————————7 作品照片——————————8 结论与心得体会———————10

自动浇花系统一、设计任务要求:

1.要求实现至少两种不同的浇灌模式(区别可以体现在浇灌频率、水量以及时段等方面);

2.可以通过按键实现不同模式间进行切换的功能; 3.通过使用流水灯转换的快慢模拟浇灌时期水量的大小; 4.显示当前浇花区间内浇灌的次数;

二、方案设计与论证:

输入两位十进制数设置浇花时间间隔,输入一位2进制数表示水量模式选择。用流水灯模拟浇花过程,用LED显示浇花次数。可通过计数器计算时间,与用户输入的时间间隔数字比较,如果相等,则通过流水灯模拟浇花过程。

三、各单元电路设计与分析:

分析:此电路图是由两位进制数的计算器可以选用两个74LS90 而组成,其对应电路如上图。通过一个时序脉冲频率为100HZ来给信号,当第一个计数器达到9时,需要进制计数所以在通过74LS08与非门来计数十位的数。

分析:这是一个两个由74LS85D芯片组成的两位十进制的比较器,此电路简单,是用来通过用户输入的指令比较如果相等则表示通过,那么系统就会浇花,则LED就会显示次数。

分析:此电路为核心电路,可以使用一个触发器或寄存器保留浇花指令信号。使用一个计数器记录浇花时间。浇花结束后反馈给触发器一个信号使其复位。用到jk触发器和90计数器和08,32等芯片。

四、总体电路原理图及元器件清单:

总体电路原理图:

元器件清单:74LS90五个,7485两个,74138两个,74LS112一个,74LS08一个,74LS32一个,直流稳压电源。

五、电路仿真及仿真结果分析:

六、作品照片:

七、:结论与心得体会:

结论:此次的实验结果与原理结果相吻合,通过用户输入的指令与计数器计算的时间相比较,如果是一致那么则启动该系统,通过流水灯闪烁来代表浇花的过程,已达到实验的目的和效果。

心得体会:在此次实验的过程中,自己首先要了解自己做的作品的目的和想法。要以一个抽象的概念模拟实验,不能是盲目的去做一些简单的焊锡,打孔,跳线等没有思考的问题。自己要去学会怎么用仿真软件去将你的实验原理图验证正确才可以下手。再有就是学会AD 画图每一个细节都是不能忽视的,比如说找个电阻,电容,二极管。等一些电子元器件,如果你没有很好的掌握此AD软件的功能那么你是无法快速的找到对应的元件,还有就是在画图的过程中需要考虑很多小问题,比如说线不能重叠需要跳线,但是你的板子很小,这就需要你想一个巧的办法去解决,从那些元件的空隙连过去也是可以的。一些元件的使用方法也是值得我们注意的,比如说数码管,它分供阴极和供阳极,如果你搞错了你也是的得不到你想要的结果。芯片的正反是否装错,在焊锡的时候是否打吧芯片给烧坏了等一系列微小,但有不得不值得我们注意的问题。所以在做实验是我学会了要仔细仔细再仔细,做完后需要学会通过用万用表检测电路是否没有短路或者断路,与自己的实验原理图对照要及时的找出问题所在。自己的思维能够严谨。一个完整的实验是需要一个人的耐力,信心,仔细,创新的许多品质,达不到实验的目的绝对是不行的。没有恒心和毅力就这么个小小的实验都做不出来,那么将来在社会上什么事情都不会让你干,遇到困难就想放弃你也就什么事情都干不成。因此通过此次的数

电课程设计我更加明白了我们现在差欠的品质太多,从做作品的效率和效果来看就是你要步入社会的状态。我们需要更加努力的在大学期间磨炼自己,学好自己的专业课,从大学中培养良好的品质完善自己,以便出社会更好的应对麻烦和问题。

第二篇:数电课程设计

数电课程设计

一、课程性质

数字电路与逻辑设计课程实训是同学们进入电子设计领域的入门课程之一,认真仔细完成本次课程的设计内容可为今后复杂电路的设计和制作打下良好的基础。

二、设计要求

1、根据附录所示的相关内容,自选其一,进行制作;

2、使用热转印法进行制作,在制作PCB时将学号印刷在bottom层;

3、设计作品不局限于附录所示内容,如果自己有设计项目,可以自行购买器件并完成设计和制作;

4、需使用仿真软件进行功能仿真后,再进行PCB的设计和制作;

5、课程结束后,须提交设计报告1份、电路仿真文件1份、PCB设计文件1份和最后设计成品板1份。

三、器件说明

1、核心器件为NE555芯片,学院提供1块,如有损坏,自行购买;

2、学院可提供人均1份的热转印纸和单面覆铜板,超出部分,自行购买;

3、其他小型器件如电阻、电容等,自行解决。

附录:555电路运用大全

利用555时基集成电路的基础电路可以设计、开发出许多电子小实验与科技制作。下面介绍几种,供大家参考。

1.触摸延时“小灯”

图5-43是它的电路,它将触摸开关发光二极管的实验中加入延时电路,调整可调电阻阻值和电容量达到延时效果。要想增加延时的时间,就调换大容量的电容,如400μF、1000μF等。如果作为夜间床头定时灯、楼道定时灯等,可拆去发光二极管和电阻,换一个6伏的小灯即可。

图5-43

2.触摸延时音乐门铃

图5-44是它的电路图,与图5-45比较,将触摸延时“小灯”电路中拆去发光二极管,改为连接音乐片电路即可。它可以当作门铃使用,也可安置在人手触摸处作为瞬间报警器。

图5-44

3.手控行车红绿灯指示器模型

图5-45是它的电路图,先做一个红绿灯灯架,将红绿发光二极管固定在灯架上,按图连接后,只要向下按动按键,则红灯变为绿灯,手一离开便又成为红灯。

图5-45

4.可自动控制的行车红绿灯指示器模型 图5-46是它的电路图,只将上图的手控改为磁控,再加上延时电路,就可以将上述模型改为路灯自动控制。先制作一个街道模型和指示灯架,将干簧管设在指示灯前方的道路模型的下方。在一辆模型汽车的底部粘一块磁铁。当汽车行过干簧管上方时,电路导通,红灯变为绿灯,汽车继续向前行驶,由于延时电路作用,使绿灯亮一段时间,保证汽车驶过路口。需要注意的是根据汽车模型的速度,调整干簧管的位置和电路延时的时间。

图5-46

5.灯塔模型

先用硬纸做一个灯塔模型。图5-47是它的电路图,它只取闪光电路的一部分——一个绿发光二极管作为塔灯。最后调整好闪烁时间。

图5-47

6.夜间打灯光靶

图5-48是它的电路图,它与闪光电路相比,集成电路的脚①是单独与负极连接,而电容与R5却是经过干簧管与负极连接。先按图14做一个一碰便可以翻倒的靶牌。在靶子的底部固定一块磁铁,将电路中的干簧管固定在与磁铁相对应的支架底板上。绿色发光二极管放置在靶心位置上,红色发光二极管诱因在支架的底部。游艺时,将靶牌放在暗处,干簧管在磁场作用下导通,两个发光二极管相互闪光,绿色发光二极管指示靶心。当靶子被击倒后,虽然干簧管失去了磁场的作用电路断开,但这时电路并未全部不通,红色发光二极管不会常亮,表示击中靶子。如果把靶牌放到运动的车模上,打靶更加紧张有趣。

图5-48

7.发报练习器

图5-49是它的电路图,它是在音响电路中接入按键代替电键使用,做成一个发报练习器的,音调高低可自己选定。也可以自己做一个电键。

图5-49

国际莫尔斯码字符如下:

如果将自己一方的电键的两根导线接在另一个同学电路中,同时把对方同学的电键两根导线接在自己电路中,那么这俩人之间就可以互相发报传送信息了。

8.一种平时不耗电的磁控报警器

图5-50是它的电路图,它是在音响电路中接入干簧管,再将干簧管放入两块相吸引的磁铁之间,这时,干簧管并不闭合,电路不导通。当移动一块磁铁后干簧管立即闭合,电路导通报警。制作时先把干簧管安放在门窗的木框上,同时把一块磁铁固定在干簧管的上方,把另一块磁铁安放在门窗对着干簧管处的下方,注意一定要使这两块磁铁相吸,这时干簧管不导通,喇叭不发出音响。一旦门窗打开,干簧管被上方磁铁吸引闭合,电路导通,发出音响报警。

9.断线报警器

在电路图5-51中的A、B两点是用一根细的导线连接(图中的弧线),当人或动物碰断导线时便会发声报警,发光二极管发光。

10.雨水报警器

图5-52是它的电路图,它是在音响电路中从两个电阻之间引出一个探头改为雨水报警器的。用覆铜板照图5-53做一个探头,接到音响电路中,当雨水滴在探头上,使电路导通,便会发出音响。这个报警器还可以作为各种遇水报警装置。

11.高低水位报警器

水能导电,也就有电阻存在。图5-54就是利用电阻值的不同,发出不同音调制作成高低水位报警器,它与雨水报警器中的探头不同。用导线按照图5-55做成水位探头,接到电路中去。当水位低时,A与B导通,因有可调电阻,阻值较大发出低音;当水位升高A与C也导通,这时A与C电阻阻值因为没有可调电阻远远小于A与B的电阻值,因此电流通过A与C,报警器便发出高音。

按这个思路还可以做成高低音门铃、多路报警器等。

12.手控模仿鸟鸣实验

图5-56是它的电路图,它与音响器不同的是没有电容,并将电容处断开。先将音响器调响后,拆去电容,用两个手指捏住导线的两个端头,这时喇叭发出高的音调。随着手指捏住松紧程度不同,喇叭发出时高时低、时响时断、如同鸟叫一般的声音。调整可调电阻阻值,会发出不同音调,模仿鸟鸣和其他音响。

图5-56

13.节拍器

图5-57是它的电路图,它是将闪光电路中接上喇叭,做成既有灯光又有音响的节拍器,只是声音较低。调整电阻阻值和电解电容可以得到不同的节拍。

如果去掉发光二极管,将节拍器调整到好似下雨的嘀嘀声,还可以作为催眠器,响一夜用电量很少。

图5-57

14.见光发亮的光控“灯”

这个光控“灯”是见光发亮。图5-58是它的电路图,与前面介绍的光控“灯”控制相反,因此,只要把原电路中光敏电阻和可调电阻调一下位置就可以了。在实验中不要忘记可调电阻的调整。

如果在电路中拆去发光二极管和电阻,接6伏继电器,再由继电器控制灯就可达到实用装置的目的。

图5-58

15.见光响音乐

早上阳光照进屋内,它就播放出音乐。图5-59是它的电路图,它是在见光就亮的光控“灯”中,去掉发光二极管,改接音乐片和扬声器而成。制作时可以根据自己所希望的亮度,慢慢调整可调电阻值。该装置还可以以市场销售的小型激光指示器为光源枪,将光敏电阻安放在靶心处,找一个不透光的圆筒套在光敏电阻上,遮挡外部光线对它的干扰,调整可调电阻值,做成光电打靶器。

图5-59

16.黑暗光控报警器

图5-60是它的电路图,它是在黑暗控制“灯”亮电路中接上音乐片电路,制作时根据所需的暗度下调整可调电阻值到发出音乐响声。

该装置还可以与小型激光指示器或其他光线配合,做成报警器,如在圈养的动物外围,将小型激光指示器远距离照射光敏电阻,当有动物外逃时,挡住激光束,便会报警。或者将脚③与计算器中的连加相接,用来对传送带上的物品个数进行计算,或者用于通道显示有人、动物通过等。

图5-60

17.书写光亮测试器

图5-61是它的电路图,它是在黑暗控制“灯”亮的电路中再加上一个发光二极管,就可以改装为光线亮度测试器。反复调整可调电阻值,使它在符合书写光照条件下,绿色发光二极管发光,而光照一暗时红色发光二极管发光,以提醒人们注意。

图5-61

18.干湿测量器 图5-62是它的电路图,用钢丝照图做两个探头连在电路中,使用时,将它的两个探头插入花盆或其他物体中,反复调整可调电阻值,使它在湿润时绿色发光二极管亮,干燥时红色发光二极管亮,以示区别。

图5-62

19.延时开的小“灯”

图5-63是它的电路图,它是将延时关电路中的电阻和电容交换位置,便可成为延时开的电路。按下按键,发光二极管由亮转灭,当手指离开按键后,会发现过一会儿发光二极管才亮。

图5-63

20.水沸报警器

先将热敏电阻放入一个直径为8毫米左右、长100毫米的铜管或不锈钢管内,引出导线,用树脂封好,不能进水。图5-64是它的电路图。实验时插入开水中,要慢慢调整可调电阻的阻值,使它到100℃时音响报警,低于这个温度时没有音响报警。

图5-64

像以上介绍的利用基础电路扩展的电子制作还可以举出许多例子,只要大家多动脑筋,发挥创造性,就可以充分利用这套器件中磁控、光控和温控的作用,再制作出各种适应它们的外围作品。

第三篇:数电课程设计

数字电子技术课程设计题选

(注:标注“易”的题目得分75以下,标注“中”的题目得分85以下,标注“中→难”的题目完成基本要求为“中”,完成提高要求为“难”。使用中小规模器件进行设计。)

1、电子万年历(中)

以“日”作为基准时间信号,设计可计年、月、日的电子万年历。(1)计时结果用8只LED七段数码显示器稳定显示

(2)计年的规则是从0000至9999,计月的规则是从1至12,计日的规则是从1至30,用数码显示器的小数点区别显示的时基单位(3)具有手动校年、月、日功能。

2、彩灯控制器(中→难)基本要求:

(1)设计一个十盏彩灯的控制电路,要求彩灯具有单向流水效果

(2)彩灯的流向可以变化。可以正向流水,也可以逆向流水。彩灯流动的方向为手动控制 提高要求:

(3)彩灯流动的方向也可以自动控制,自动控制往返变换时间为5s(4)彩灯可以间歇流动,10s间歇一次,间歇时间1s。

3、电子密码锁(易)

(1)用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。(2)在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是锁打开。

(3)用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁

(4)如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号。

4、数字式跑表(中→难)

设计一个以0.01s为基准计时信号的实用数字式跑表。

基本要求;(1)跑表计时显示范围0~99min59.99s(2)具有清零、启动计时、暂停计时及继续计时功能,操作按键(或开关)不超过2个

(3)时钟源误差不超过0.01s 提高要求

(4)显示最大值可达23h59min59.99s,有整点、半点提醒功能(5)有定时功能(6)有倒计时功能

5、四路抢答器(难)

设计一个四组参赛的智力竞赛抢答器 基本要求:

(1)当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。此时,抢答器不再接收其他输入信号。

(2)电路具有定时功能。要求回答问题的时间≤30秒(显示为29~00),时间显示采用倒计时方式。当达到限定时间时,发出声响提示。

(3)具有计分功能。每组参赛者起始分为100分,抢答后由主持人计分,答对1次加10分,否则减去10分

(4)在复位状态下台号数码管不作任何显示(灭灯)。提高要求:

(5)答题时间还剩5s时,每秒发出提示声音。

6、汽车尾灯控制电路(中)

(1)用6只小灯泡模拟6只汽车尾灯,左侧3只,右侧3只。用4个开关分别模拟脚踏制动器,停车信号,左转弯控制和右转弯控制。

(2)当汽车正常直行时,6个尾灯全灭,当临时刹车(脚踏制动器)时,6个尾灯闪烁。

(3)当汽车左转时,左侧的尾灯按照000-001-010-100-000的顺序循环点亮,每灯点亮0.5s,而右侧的3个灯全灭。当右转时相同。

7、脉冲按键电话按键显示器(中→难)基本要求:

(1)设计一个具有八位显示的电话按键显示器;(2)能准确反映按键数字; 提高要求:

(3)显示器显示从低位向高位前移,逐位显示,最低位为当前输入位;(4)重按键时,能首先清除显示;

(5)摘下话机后才能拨号有效,挂机后熄灭显示。

8、电子脉搏计设计(难)

(1)实现在15S内测量1min的脉搏数;(2)用数码管将测得的脉搏数用数字的形式显示;(3)测量误差小于±4次/min。

9、射击自动报靶器(中)

(1)用11个开关信号模拟环数取样信号,分别表示(0、1、2、3、4、5、6、7、8、9、10)环,其中0表示没射中,每次射击完毕后立刻显示环数

(2)每个人可以射击5次,5次后射击次数自动清零,表示此人不能再射击(3)自动统计累计环数并显示(4)自动统计中靶次数并显示。

10、电子拔河游戏机(易)

(1)设计一个模拟拔河游戏比赛的逻辑电路。

(2)电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。(3)比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。

(4)亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。

(5)用七段数码管显示双方的获胜盘数。

11、篮球比赛电子记分牌(中)

设计一个符合篮球比赛规则的记分系统。(1)有得1分、2分和3分的情况,电路要具有加、减分及显示的功能。(2)有倒计时时钟显示,在“暂停时间到”和“比赛时间到”时,发出声光提示。

(3)有比赛规则规定的其他计时、记分要求。

12、乒乓球比赛游戏机(中→难)

设计一个甲、乙双方参赛,裁判参与的乒乓球比赛游戏模拟机。基本要求:

(1)用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。

(2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球,若击中,则“球”向相反方向运动,若未击中,则对方得1分。(3)设置自动计分电路,双方各用二位数码管来显示计分,每局11分。到达11分时产生报警信号。提高要求:

(4)一方得分时,电路自动响铃3s,这期间发球无效,等铃声停止后方能继续比赛。

(5)设置局数显示,5局结束后有声响提示比赛结束。

13、出租车自动计费器(中)

(1)设计制作一个自动计费器,具有行车里程计费,等候时间计费及起价等三部分。三项计费总和为客户用车的总费用,通过数码自动显示。用4位数码管显示总的金额,最大值为99.99元。

(2)行车里程单价(0.××元/公里)、等候时间(0.××元/10分钟)、起价(×.00元)均能通过BCD码拨盘输入。

(3)在车辆启动和停止时发出音响信号,以提请顾客注意。

参考资料

[1]彭介华.电子技术课程设计指导[M].北京:高等教育出版社 [2]孙梅生,李美莺,徐振英.电子技术基础课程设计[M].北京:高等教育出版社

[3]梁宗善.电子技术基础课程设计[M].武汉:华中理工大学出版社 [4]张玉璞,李庆常.电子技术课程设计[M].北京:北京理工大学出版社 [5]谢自美.电子线路设计·实验·测试(第二版)[M].武汉:华中科技大学出版社

课程设计说明书与图纸要求

设计说明书内容要求:

⑴.写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。

⑵.画出框图中的各部分电路,对各部分电路的工作原理应作出说明。

⑶.画出整个设计电路的原理电路图,并简要地说明电路的工作原理。

⑷.图用计算机绘制,设计报告文字通顺,清晰,原理要表达清楚。

⑸.用仿真软件对电路图进行仿真(仿真软件可用EWB、Multisim10.0或PROTEL Se),说明仿真过程中如何对电路进行调整,对仿真结果加以描述(仿真中没有的元件用近似元件代替)。

⑹.具体格式见本节第二部分。

⑺.评分依据:①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原

理说明是否基本正确,⑤报告是否清晰,格式是否规范⑥有无仿真及对仿真过程、仿真结果的必要描述,⑦答辩过程中回答问题是否基本正确。⑻.答疑时间:周四下午3:00~4:30。地点: 主楼二楼电工实验室 ⑼.(第四周周五)下午5点前交报告。

评分标准

课程设计满分为100分,由三项构成:1.设计说明书老师给分占450%;2.答辩情况老师给分占45%;3.同学互评占10%。如有抄袭者该次设计为0分。

答辩安排:每个同学现场给老师演示仿真程序,而后老师提问。

设计说明书格式

设计说明书不少于3500字,用A4纸打印装订成册。具体格式如下:

附件:设计说明书格式

设计说明书用A4纸打印装订成册。

第四篇:数电课程设计

数字电子课程设计

班级:电气002 学号:10110081 姓名:齐西潮

原理图方式设计二进制全加器

一:设计目的

1.通过设计一个二进制全加器和十进制全加器,掌握组合逻辑电路设计的方法。2.初步了解Quartus Ⅱ采用原理图方式进行设计的流程。

3.初步掌握FPGA开发的流程以及基本的设计方法、基本的仿真分析方法。二:设计原理

在数字系统中,经常需要进行算术运算,逻辑操作及数字大小的比较等操作,实现这些运算功能的电路是加法器。加法器是一种组合逻辑电路,主要功能是实现二进制数的算数加法运算。

在设计全加器之前先要考虑半加器,半加器完成两个一位二进制相加,而考虑由低位来的进位,半加器的表达式为:Sn=AnBn+AnBn= An⊕Bn

Cn= AnBn ;

全加器是带有进位的二进制加法器,全加器的逻辑表达式:Sn=AnBnCn-1+AnBnCn-1+AnBnCn-1+AnBnCn-1

Cn=AnBnCn-1+AnBnCn-1+AnBnCn-1+AnBnCn-1 三:设计内容

应用软件QuartusⅡ设计原理图并对所设计图进行仿真。四:设计步骤

(一)二进制全加器的设计步骤 1.打开Quartus Ⅱ,选菜单File→New,在弹出的New对话框中选择Device Design Files页的原理图文件编输入项Block Diagram/Schematic File。2.在原理图编辑窗口设计一个全加器

编好图后保存文件名取为b_adder.bdf.3.将设计项目设计成可调用的元件

为了构成全加器的顶成设计,必须将以上设计的半加器b_adder.bdf设置成可调用的元件,方法是选择菜单 File→Create/Update Symbol Files for Current File项,即可将当前文件b_adder.bdf变成一个元件符号存盘,以待在高层设计中调用。

4.设计全加器顶层文件。

为了建立全加器的顶层文件,必须打开一个原理图编辑窗口,方法同前,即再次选择菜单File→New,→Block Diagram/Schematic File。在弹出的图中Project下调出b_adder文件,同时按照图连接好全加器。以q_adder命名将此全加器设计存在同一路径F:addera文件夹中。下面是以链接好的全加器电路

保存文件。5.创建工程

选择File下拉菜单中的New Project Wizard,新建一个工程。点击图中的next进入工作目录。

6.对设计文件进行编译。在Processing菜单下,点击Start Compilation命令,开始编译。编译结束后点击 确定 按钮。

7.仿真

在File下拉菜单中选择New,选取对话框中的Other File下的Veetor Waveform File,点击OK,打开一个空的波形编辑器窗口。加入输入输出端口,在波形编辑器窗口的左边端口名列表区双击,在弹出的菜单中选择Node Finder,出现Node finder 窗口后,在Filer列表中选择Pins:all,点击List,在Node Finder 窗口中出现的所有的信号名称中点》按钮,再点击OK。制定输入端口的 逻辑电平变化,最后保存该仿真波形文件,文件名与工程名相同。再点击Edit→End Time ,在弹出的窗口中的 time 值改为100.0 单位是us,点击OK,完成设置。点击右上角的蓝色箭头开始仿真。波形如下

原理图方式设计频率计

一.设计原理

数字频率计的主要功能是测量周期信号的频率。频率是单位时间(1S)内信号发生周期变化的次数。如果我们能在给定的 1S 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。含有时钟使能的2位十进制计数器电路设计原理如图2-1所示,频率计的核心元件之一是含有时钟使能及进位扩展输出的十进制计数器。所以在这里拟使用一个双十进制计数74390和其它一些辅助元件来完成。图中74390连接成两个独立的十进制计数器,待测频率信号clk通过一个与门进入74390的第1个计数器的时钟输入端1CLKA,与门的另一端由计数使能信号enb控制:当enb=‘1’时允许计数;enb=‘0’是禁止计数。计数器1到4位输出q[3]、q[2]、q[1]和q[0]并成总线表达方式即q[3..],由图左下角的OUTPUT输出端向外输出计数值,同时由一个4输入与门和两个反相器构成进位信号进入第2个计数器的时钟输入端2CLKA。第2个计数器的4位计数输出是q[7]、q[6]、q[5]和q[4],总线输出信号是q[7..4]。这两个计数器的总的进位信号,即可用于扩展输出的进位信号由一个6输入与门和两个反相器产生,由cout输出。clr是计数器的清零信号。

二:设计一个2位十进制的计数器 1.绘制原理图。2.进行全程编译,方法如二进制加法器的方法。3.仿真

步骤和二进制加法器流程相同外还要注意,在输出总线设置上先选中q[3]、q[2]、q[1]、q[0],右键弹出选择Grouping→Group,name改为q3..0,按此方法设置好q[7]、q[6]、q[5]、q[4],在Grouping→Group,name中改名为q7..4。输入clk时钟信号,clr,enb,信号,然后点击仿真按钮开始仿真,其结果如下

十进制计数器的设计完成。三:时序控制电路设计

仿真波形图:

四 : 频率计顶层电路设计

仿真波形:

五.实验心得

频率计是在已经能比较熟练使用Quartus II软件的基础上进行设计,仿真的。操作熟练程度确实较以前有了较大提高。掌握了在出错时如何通过error提示进行错误的修改以及使用一些快捷键快速的打开、建立新文件。本次设计中,收获颇多,它培养了我们独立思考、独立解决问题的能力,同时,对于同组之间的合作,也是十分重要的,只有共同努力,才能收获到最美的果实。在设计中遇到过问题,也解决过问题,有过成功,也有过失败。我们应该记住成功,但我们更加不能忘记失败,只有一次次地总结经验和教训,我们才能不断进步。

总结

过这次数电课程设计实验学习了如何使用Quartus II软件,了解了其主要功能并且知道如何进行一些简单的仿真,使以往抽象的知识形象化,增强了对所学知识的理解和巩固,会对我以后的学习起到极大的帮助作用。

第五篇:数电课程设计

题目:光控计数器

学院:核工程技术学院

专业:核技术

学号:09026103

姓名:史镇玮

作者(签名):

完成日期:2011年6月日 【课题名称】 光控计数器 【内容摘要】

利用光线的通断来统计数目的光控计数器。其主要系统组成为:光电转换模块、整形模块、时序控制模块、计数译码模块和显示模块,通过对光电的转换,由时序逻辑电路控制,达到自动计数的功能。【设计内容及要求】

本设计主要是利用光线的通断来统计计数。要求设计两路光控电路,根据光控电路产生触发脉冲的先后顺序,来判断计数器进行加或减计数,要求计数器的最大计数容量为99,用数码管显示数字,并设置手动复位。【设计原理】 1工作原理

首先由光控电路将接收的光信号转换为电信号,经由555定时器组成的施密特触发器整形和555定时器组成的单稳态触发器触发脉冲,输出计数脉冲信号。再通过计数器和译码器,在数码显示管上显示数目的增加或减少,实现自动计数的功能。2.整个系统组成

整个系统由五个部分组成:光控电路、触发脉冲、加减计数、显示译码和数码显示,其工作原理框图如下:

3.电路图(见附录)【单元电路设计及原理】 1.光电转换电路

光电转换电路用于将光信号转换为系统所需的电信号。由于需要进行数目的加和减的运算,此部分需要两个相同的光控电路。每个电路的组成为:一个施密特触发器和单稳态触发器。

当光敏电阻处于光照时,电阻阻值较低,单稳态输出低电平。当遮住第一光敏电阻光时,电阻升高,单稳态触发器输出高,进入暂稳态,维持1.1s 施密特触发器:

一个555定时器芯片、R1、RG(光敏电阻),当RG有光照时,电阻下降。随之,TH端电压下降,输出端3口输出高电平。反之,RG弱光时,电阻升高,TH端电压升高,3输出低电平。单稳态触发器:

用555芯片构成单稳触发器,每次触发产生一个1s左右的方波脉冲。当从施密特触发器3输出,单稳态触发器2(TR)输入高电平,3端立刻输出低电平。随之,当2输入低电平,3随即输出高电平。然而,当2马上输入高电平时,3输出将维持一段时间,进入暂稳态。

维持时间:Tw=RC ln3 = 1.1s

2、时序控制电路

时序控制电路在本课题中主要用于判断计数的增加或是减少,在此选用了一个双D触发器74LS74、74LS00芯片来实现。74LS74双D触发器,用于和其它芯片一起构成时序逻辑电路。由于74LS74是上升边沿触发的边沿D触发器,电路结构是维特—阻塞型的,所以又称维特—阻塞触发器。它要求控制端D的信号应超前CP脉冲上升边沿2Tpd1时间建立,并要求在CP脉冲触发边沿到来后继续维持1Tpd1时间(此处的Tpd1是TTL门的平均传输延迟时间)

当上端3输出由低到高的电平,高电平维持1.1s,在其维持的时间段中,给下端3输入一由低到高的电平,给D触发器CP一上升沿。这是,D触发器工作 Q′=D′=0,同时两与非门的输出由低到高变化,给了下一模块一上升沿。

3、计数和译码和显示部分

计数部分由两片74LS191异步级联而成。译码部分是由两片74LS47来完成,显示部分是由两个共阴极LED数码显示管。用于接收计数脉冲信号并将其转化成单独的信号输出并显示。74LS191 74LS191是集成4位同步二进制加减计数器,可执行十六进制加减法计数及异步置数功能。可通过外电路连接构成其他进制的计数器,本课题连接成十进制计数器。

当14(CP)来上升沿时,191(1)开始计数,分为两种情况,U′/D输入为0,则进行加法计数,U′/D输入为1时,进行减法计数。

同时,2和7接与非门,输出端接置数端LD′和191(2)CP,191(1)的2和7输出1时,(2)CP为0,下一状态为1,上升沿到,进位计数。74LS47和数码管 两个译码器和两个数码管来完成,用于接收计数脉冲信号并将其转化成单独的信号输出并显示。当47译码器3端接地,数码管全部显示。【参数计数及器件选择】

1.测量光敏电阻在无光和有光时电阻。第一个,有光,Rg=5.34KΩ,无光时,Rg=29.8KΩ。第二个,有光,Rg=6.1KΩ,无光时,Rg=34.5KΩ。根据1/3Rg(无光)≤R1≤2/3Rg(有光),选择R1=R3=10KΩ

2.对数码管检测。将数码显示管的的3端接地,用高电平依次接到除8以外的其他端,发现无任何显示。说明使用的是共阳极数码显示管,应在3脚或8脚加电源(中间接限流电阻)。经再次测试,数码管显示正常。【组装与调试】

整个电路分为三个模块进行组装调试,分析。

1、施密特触发器与单稳态触发器的调试

按图接好电路,R1选择10KΩ,接通电源,将3端接到发光二极管。这时,二极管正常发光。当对光敏电阻进行遮光处理,二极管为暗。第二个触发器的调试,同上述操作,R3为10KΩ。

当施密特触发器正常工作时,在检测单稳态触发器。此时二极管接到3端,二极管为暗。当对光敏电阻进行遮光处理,二极管正常发光,照光后,持续1.1s。表示单稳态触发器调试良好。第二块单稳态触发器,同上述操作。

2、双D触发器与与非门测试

D触发器的1、4端输入高电平信号,检测Q`=D`。与非门按有“0必出1,11为零”的原则检测。检测完成后,将其接入电路。

3、计数和译码和显示部分

按图连好电路,将第一片191芯片14端输入单脉冲,观察数码管显示结果,当5端输入高电平时为减法,5端输入低电平时为加法,数码管正常计数,说明电路正常。调试完成后,按图将其接入总电路。【报错分析】

1、计数部分调试时,发现只有第一个数码管计数,而第二个数码管停止不计。经检测,第二个74LS191芯片没有脉冲输入。由此判断,与非门74LS00芯片,出现问题。更换后,计数正常。

2.电路连接完成后,只能做减法而不做加法。经调试,发现74LS74芯片出现问题。更换后,计数正常。

3.更换74LS74后,发现计数紊乱。与电路图对照后,发现,没有将74LS74的1、4端接到高电平。【实验结果】

整个模块进行调试,对第一模块RG遮光,马上对第二个RG遮光处理,数码显示管按预期的进行1次加法运算。但对第二块RG遮光,马上对第一块RG遮光,则进行2次减法运算。【应用及评估】

现今人们在生活、学习和工作中,经常需要对某种物品进行数量统计。如进出房间的人数统计。常规的机械计数和人工计数不仅麻烦,而且极为浪费时间和资源。在学习了脉冲数字电路的基础上,本课题所设计的一种利用光线的通断来统计数目的光控计数器,用一种较为简单的设计解决了这一现实问题。而且此产品简单方便,非常易于用于实际生活中,有教高的实用价值。【所需元器件】

试验箱(含面包板)

1块 555定时器4片 74LS74

1片 74LS00

1片 74LS1912片 74LS47 2片 数码管

2个 电阻:10K欧姆4个 1K欧姆 2个 电容:100µF 2个 0.01µF 4个 【心得体会】

经过两天的实验,使得在课堂上学习的知识得到巩固,同时增加了对电路的分析,连接,调试,错误分析等能力,思维得到了进一步的升华。1)做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。

2)通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。

3)调试过程是痛苦的,调试电路是需要非常强的理论作为基础的,但也是最能提升能力的部分,只有通过亲自调试电路,发现电路存在的问题,思考产生的原因,并想办法解决问题,才能加深对自己设计电路的认识。4)此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,能静下心来,不烦躁去解决问题等都受益非浅。在整个课程设计当中,深刻体会到设计一个电路的难度。虽然已经有设计总电路图,但实验过程中并不是一帆风顺的,期间遇到过好多问题。但最终通过自己的能力得以解决。当看到自己的成果时,发现其实课程设计是很有意思的。我们需要认真的去体会实验给我们带来的乐趣。总之,此次课程设计实验,使我受益匪浅。【参考文献】

1.阎石.《数字电子技术基础》.高等教育出版社.2.管小明.《数字电子技术基础实验与课程设计》.电子与机械工程学院机电基础教学部

附录

附:光控计数器电路总图

下载数电课程设计word格式文档
下载数电课程设计.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    数电课程设计

    数电课程设计(序列检测器) 课程设计题目:设计一个10010的序列检测器。 设计思路如下: 状态图 INIT=0,A1=1,A2=10,A3=100,A4=1001,A5=10010. 图中,沿红线状态从INIT变到A5,即输入......

    数电课程设计[本站推荐]

    摘要 数字式竞赛抢答器是有抢答、提前抢答警报、倒计时、数码管显示等组成。抢答的部分需要的时序频率高,整个系统需要一个时序提供,中间需要很多的逻辑门电路,还需要555定时器......

    数电课程设计

    电子技术课程设计 题目名称 多功能数字钟设计 班 级 自动化1204 学 号 201209157043 学生姓名 田野 指导教师 刘 琼 设计时间 2014-6-9 ~ 2014-6-13 设计任务与要求: Ⅰ 以......

    数电课程设计——交通灯(范文模版)

    课 程 设 计 课程名称 数字电子技术基础 题目名称 交通灯控制电路学生学院 专业班级 学号学生姓名 邓嘉琦 指导教师2014 年5 月 25 日 一、设计题目: 交通灯控制电路 二、实......

    数电课程设计报告

    数电课程设计报告 一、 实验题目: 民航客机客舱服务应答系统 二、 设计思路 我选择的是将22个座位中优先级最高的请求选择出来的电路。实际上就是一个24x5优先编码器。 1. 通......

    数电课程设计[五篇模版]

    课 程 设 计 报 告 学 院 名 称课 程 名 称开课系(或教研室)执笔人审定人修(制)订日期 课程设计专用纸 成绩 课程名称 指导教师 院 (系) 专业班级 学生姓名 学号 设计日期 课......

    数电课程设计抢答器

    数电课程设计——抢答器 1220223 16 左杉2014.6.25 设计目的 掌握四人智力竞赛抢答器电路的设计方法。 设计任务与要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮S0 ~ S4表示。......

    数电课程设计任务书

    数电课程设计任务书一、基本情况 学时:40学时学分:1学分适应班级:电气工程1204 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4......