数电课程设计数字电子钟报告

时间:2019-05-14 21:01:14下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《数电课程设计数字电子钟报告》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《数电课程设计数字电子钟报告》。

第一篇:数电课程设计数字电子钟报告

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

数字电子技术课程设计报告

题目:

时间:

院校:

班级: 组员:

数字钟的设计与制作 09-10学年 武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

数字电子技术课程设计报告

一. 设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二.实现功能

1.要求内容

1)时以24为周期 2)分和秒以60为周期 3)能显示时、分、秒

4)具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间

2.发挥内容

1)星期的显示

2)计时过程具有报时功能

三.元器件

1.洞洞板2块

2.0.47uF电容1个 3.100nF电容1个

4.共阴八段数码管7个 5.网络线10米

6.CD4511集成块7块 7.CD4060集成块1块 8.74HC390集成块4块 9.74HC51集成块1块

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

10.74HC00集成块4块 11.74HC30集成块1块 12.10MΩ电阻5个 13.74HC00集成块4块 14.L7805三端稳压管1个 15.30pF瓷片电容2个 16.9V电池1块

17.单刀双掷开关2个 18.单刀单置开关1个 19.74HC10集成块1块

各个芯片引脚图 1. CD74HC390

2.L7805稳压管

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

3. CD4060

4. CD4511

5.74HC10

6.74HC30

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

7.74HC51

8.74HC00

四、原理框图

1.数字钟的构成

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

(a)数字钟组成框图

2. 晶体振荡与分频电路

(b)晶体振荡器

晶体振荡器电路给数字钟提供一个频率稳定准确的32.768KHz的方波信号,可保证数字

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。如图(b)所示,无源晶震、电容和电阻构成晶体振荡器电路,CD4060实现分频。值得注意的是无源晶振是没有极性的,与电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确

晶体XTAL的频率选为32.768KHZ.该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数.从有关手册中,可查得C1,C2均为30pF.当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施.由于CMOS电路的输入阻抗极高,因此反馈电阻R可选为10MΩ.较高的反馈电阻有利于提高振荡频率的稳定性.2HZ

1HZ

(c)二分频

通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现.例如,32768Hz的振荡信号分频为1HZ的分频倍数为32768(215),即实现该分频功能的计数器相当于15极2进制计数器.常用的2进制计数器有74HC393等.本实验中采用CD4060来构成分频电路.CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便.CD4060计数为14级2进制计数器,可以将32768HZ的信号分频为2HZ。再通过二进制计数器,将2Hz信号转化为1HZ,作为秒输入信号。

3. 时间计数电路

一般采用10进制计数器如74HC390等来实现时间计数单元的计数功能。由其内部逻辑框图可知,其为双2-5-10异步计数器,下降沿触发,并每一计数器均有一个异步清零端(高电平有效)。秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可。CPA与1HZ秒输入信号相连,QD可作为向上的进位信号与十位计数

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

单元的CPB相连。

秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6进制计数器的电路连接方法如图 2.4所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连。

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。

时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换。利用1片74HC390实现12进制计数功能的电路如图(d)所示。

六进制电路

由74HC390、7400、数码管与4511组成,电路如图一。

U1A3123U2A12Com74HC00D74HC00DU5SEVEN_SEG_COM_KABCDEFGU3AV1 32Hz 5V141INA1INB21CLR31QA1QB1QC1QD5677126U413DADBDCDD5OAOBOCODOE1211109151474HC390D43~ELOF~BIOG~LTVCC5V4511BD将十进制计数器转换为六进制的连接方法

十进制电路

由74HC390、7400、数码管与4511组成,电路如图二。

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

U4A3126U4B4574HC00D74HC00DComU3SEVEN_SEG_COM_KU1AV1 60Hz 5V141INA1INB21CLR31QA1QB1QC1QD5677126U213DADBDCDD5OAOBOCODOE12111091514ABCDEFGVCC5V74HC390D43~ELOF~BIOG~LT4511BD十进制接法测试仿真电路六十进制电路

由两个数码管、两4511、一个74HC390与一个7400芯片组成,电路如图三。

双六十进制电路

由2个六十进制连接而成,把分个位的输入信号与秒十位的Qc相连,使其产生进位,电路

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

图如图四。

ComComSEVEN_SEG_COM_KU1B6453U1A12U4SEVEN_SEG_COM_KU7U11BABCDEFG64513DADBDCDD5OAOBOCODOE~ELOF~BI~LTOG1211109151421CLR141INA1INB3U10A12ABCDEFG74HC00D74HC00DU3B15122INA2INB142CLR132QA2QB2QC2QD11109U2712674HC00D74HC00DU8A31QA1QB1QC1QD5677126U913DADBDCDD5OAOBOCODOE12111091514VCC5V74HC390D43U1C891011U1D12134511BD74HC390DComVCCU643~ELOF~BI~LTOG5VSEVEN_SEG_COM_K74HC00D74HC00DABCDEFG84511BDComU15C91011U16DSEVEN_SEG_COM_K1213U14U3A131INA1INB21CLR1QA1QB1QC1QD5677126U513DADBDCDD5OAOBOCODOE1211109151474HC00D74HC00DU12B15122INA2INB142CLR132QA2QB2QC2QD111097126U13DADBDCDD5OAOBOCODOEABCDEFG***14V1 100kHz 5V474HC390D43~ELOF~BI~LTOGVCC74HC390D5V43~ELOF~BI~LTOG4511BD4511BD

二十四进制

星期

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

由1个十二进制电路、2个六十进制电路组成,因上面已有一个双六十电路,只要把它与十二进制电路相连即可。

4.译码驱动及显示单元电路

选择CD4511作为显示译码电路;选择LED数码管作为显示单元电路。由CD4511把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。这里的LED数码管是采用共阴的方法连接的。

计数器实现了对时间的累计并以8421BCD码的形式输送到CD4511芯片,再由4511芯片把BCD码转变为十进制数码送到数码管中显示出来。

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

5.校时电路

由74CH51D、74HC00D与电阻组成,校正电路有分校正和时校正两部分。

IO1VCC正常输入信号5V校正信号R1IO2U2C9108小时校正电路J110Mohm74HC00D注意:分校时时,不会进位到小时。U11111213910U2DKey = A12R210MohmIO313U2A8123时计数器IO574HC00D1123674HC00D正常输入信号校正信号R3U3A10Mohm12U2B456分计数器IO6IO44574HC00D74HC51D3J274HC00DKey = B分钟校正电路分校正时锁定小时信号输入R410MohmU3B456图中采用基本RS触发器构成开关消抖动电路,其中与非门选用74HC00;对J1和J2,因为校正信号与0相与为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态,当开关打向上时,情况正好与上述相反,这时电路处于校时状态。74HC00D数字钟设计-校时电路部分

数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。即为用COMS与或非门实现的时或分校时电路,In1端与低位的进位信号相连;In2端与校正信号相连,校正信号可直接取自分频器产生的1HZ或2HZ(不可太高或太低)信号;输出端则与分或时个位计时输入端相连。当开关打向下时,因为校正信号和0相与的输出为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关打向上时,情况正好与上述相反,这时校时电路处于校时状态。

实际使用时,因为电路开关存在抖动问题,所以一般会接一个RS触发器构成开关消抖动电路,所以整个较时电路就如图。

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

带有消抖电路的校正电路

6.整点报时电路

在59分51秒、53秒、55秒、57秒、59秒的时候,蜂鸣器报时

五、总接线元件布局简图

整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。

其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。

电路的信号输入由晶振电路产生,并输入各电路。

七、芯片连接总图

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

接线图

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

八、总结

1. 实验过程中遇到的问题及解决方法

a、测试过程中有1七段显示器不能正常工作

首先通过万用表检测各接线是否正确,是否出现了短路或者虚焊的情况,最后证明接线并美誉什么问题,最后我们通过并联另一显示器的方法检测出此显示器已损坏,然后就换了一个好的显示器。

b、各段电路的测试方法

我们首先在面包板上把电源的发生和频率的发生电路全部连接好,并检测其正常工作,然后每接好一部分电路就用其检测,没问题后再进行下一步的工作。

c、最后把电路全部接好后让数字钟走了一天后,数字钟出现数字显示不稳定和不能正常工作的情况

因为在数字钟正常工作的时候我们并没有去碰它,所以并不可能出现部分线断掉或者短路的情况,最后我们把主要检测重点就放在了对电池电压的检测和对各集成块的检测上面,最后检测出三端稳压管的输出电压只有3V,低于正常输出的5V电压,然后我们再对电池的输入电压进行检测,输入电压为8V,满足三端稳压管的输入条件,至此可以判断是三端稳压管出现的问题(可能由于工作时间过长而烧掉)。换上一新三端稳压管后,电路又恢复正常工作。

2. 设计体会

通过这次对数字钟的设计与制作,让我们了解了设计电路的程序,也让我们了解了关于数字钟的原理与设计理念,要设计一个电路总要先用仿真仿真成功之后才实际接线的。但是

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

最后的成品却不一定与仿真时完全一样,因为,再实际接线中有着各种各样的条件制约着。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。

通过这次学习让我们各个芯片能够完成什么样的功能,使用芯片时应该注意哪些要点。同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。另外,我们设计要从市场需求出发,既要有强大的功能,又要在价格方面比同等档次的便宜。

通过这次学习,让我们对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。

3. 对设计的建议

希望在我们动手制作之前,老师能够多给点集成块让我们选择,同一功能但是可以用不同的片子去实现其功能。另外在提供片子的时候应该准备好有多余的片子,因为我们谁也不能保证每一个片子都能够正常工作。

4. 未解决的问题

a用74HC390D的片子作为十进制时为什么也需要置零?

因为74HC390D本身就是十进制的片子,但当我们在试验箱上测试其功能时,当我们在十进制的情况下不置零,此时显示器上的数字就出现不稳定的情况,并且也不会按正常的加法去计数,当接了置零后,显示器就正常工作了。

b、24进制时其各位为什么不需要1010的置零输入?

从上面a问题可以得出当其为十进制的时候不给起置零的话就不能正常的工作,当其为24进制的时候个位接了置零后,反而不能正常工作,并且变成了100进制的片子。当把各位的置零去掉后便变成了24进制。

第二篇:数电课程设计报告-数字电子钟 东北大学

课 程 设 计 报 告

设计题目:数字电子钟设计与实现

班 级: 学 号: 姓 名: 指导教师: 设计时间:

摘要

数字时钟已成为人们日常生活中必不可少的必需品,广泛于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。由于数字集成电路技术的发展采用了先进的三石英技术,使数字时钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。尽管目前市场上已有现成的数字时钟电路芯片出售,价格便宜、使用也方便,但鉴于数字时钟电路的基本组成包含了数字电路的组成部分,因此进行数定时钟的设计是必要的。在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来增养我们的综合分析和设计电路的能力。

本次设计以数字时钟为主,实现对时、分、秒数字显示的计数器计时装置,周期为24小时,显示满为23时59分59秒并具4有校时功能的数电子时钟。电路主要采用中规模的集成电路,本电路主要脉冲产生模块、校时模块、两个六十进制模块(分、秒)、一个二十四进制模块(时)和一个报时逻辑电路组成。时、分、秒再通过BCD-7段译码显示屏显示出来。

关键词:计数器

译码器 校时

目录

概述

2 课程设计任务及要求

2.1 设计任务

2.2 设计要求3 理论设计

3.1方案论证

3.2 系统设计

3.2.1 结构框图及说明

3.2.2 系统原理图及工作原理

3.3 单元电路设计

3.3.1秒脉冲电路设计

3.3.2时、分、秒计数器电路

3.3.3校时电路

3.3.4译码显示电路

3.3.5定时电路设计

4.软件仿真

4.1 仿真电路图

4.2 仿真过程

4.2 仿真结果

5.结论

6.使用仪器设备清单

7.参考文献。

8.收获、体会和建议。5 5 8 10 11 13 15 16

18191920

2.课程设计及要求

2.1设计任务

数字电子时钟是一种用数字电路技术实现“时”、“分”、“秒”计时的装置。其中,时间以24小时为一个周期;显示时、分、秒;具有校时功能,可以分别对时、分进行单独校时,使其校正到标准时间;时钟具有闹钟功能;具有开机清零功能;设计所需的脉冲电路。

2.1设计要求

独立完成系统的原理设计。说明系统实现的功能,应达到技术指标,进行方案论证,确定设计方案。画出电路图,说明各部分电路的工作原理,初步选定所使用的各种器件的主要参数及型号,列出元器件明细表。系统中包含的中小规模集成电路的种类至少在六种以上。根据理论设计用multisim 7在计算机上进行仿真。验证所设计方案的正确性。

3.理论设计

3.1方案论证

数字时钟是一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。电路由秒信号发生器、“时、分、秒”计数器、译码器、显示电路、校准电路、定时电路等组成。秒、分、时分别为60、60和24进制计数器。分、秒均为60进制,显示00—59,个位为十进制,十位为六进制;时为24进制,对于24进制来说个位为十进制,十位为三进制。用74LS161和74LS160均可实现六十进制和二十四进制计数器,再通过LED六段显示器将具体信号显示出来。整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发触发器实现报时。校时电路是通过改变时计数器和分计数器的输入脉冲来实现校时功能。

3.2系统设计

3.2.1结构框图及说明

原理框图如图1所示

图1

该系统的工作原理是:

由石英晶体多谐振荡器和分频器产生1HZ标准秒脉冲。“秒电路”、“分电路”均为00—59的六十进制计数、译码、显示电路; “时电路”为00—23的二十四进制计数、译码、显示电路。校时电路分别控制对时和分的校正。

3.2.2 系统原理图及工作原理

系统具体电路连接情况如图2

GNDGNDCKCKCKCKCKCKU24ABCDEFGABCDEFGU25ABCDEFGU13ABCDEFGU15ABCDEFGU6ABCDEFGU******14474849505***109***9606162******09101112***1109***3242526***14OAOBOCODOEOFOG***14OAOBOCODOEOFOG~LT~RBI~BI/RBO~LT~RBI~BI/RBO~LT~RBI~BI/RBO~LT~RBI~BI/RBO~LT~RBI~BI/RBOABCDABCDABCDABCD7126354ABCD71263547126ABCDU1274LS48NU1474LS48N***12653547255U16B7474LS08D64756314***U3B7874LS08D16171887~LOAD~CLR***11537U21B74LS03N14***3121115QAQBQCQDQAQBQCQDQAQBQCQDRCORCORCOQAQBQCQD14***31211~LOAD~CLR~LOAD~CLR~LOAD~CLRQAQBQCQDRCOQAQBQCQDCLKCLKCLKENPENTENPENTENPENTENPENTCLKU20B2774LS08DU17AABCDABCDABCD710710710ABCD54RCO74LS160N710~LOAD~CLR~LOAD~CLR***45691234569121U274LS160NCLK15U18U1974LS160NU107374LS160NRCOU974LS160N354~LT~RBI~BI/RBOU2274LS48NU2374LS48NU574LS48NOAOBOCODOEOFOGU774LS48NOAOBOCODOEOFOGOAOBOCODOEOFOGOAOBOCODOEOFOGU174LS160NCLK2ENPENT300710VCC9VU26A9VVCC274LS21N710VCCU11BABCD34569123456U4BVCC674LS00D74LS00D09VVCC78J23J1U27ALTBAEQBAGTBB0A0B1A1765OALTBOAEQBOAGTBB2A2B3A***11574LS21D3877I2BIPOLAR_CURRENT092U28ALTBAEQBAGTB***1588Key = SpaceVCC29I1BIPOLAR_CURRENT0U34ALTBAEQBAGTBB0A0B1A1765OALTBOAEQBOAGTBB2A2B3A***115Key = Space8584U29OR***OALTBOAEQBOAGTBB0A0B1A1B2A2B3A380VCCU33ALTBAEQBAGTB***1596U32ALTBAEQBAGTB***15U31ALTBAEQBAGTB***15VCCR2100Ω04RSTDISTHRTRICON9V7485N7485N8VCCOUTU3038689765OALTBOAEQBOAGTBB0A0B1A1B2A2B3A39495765OALTBOAEQBOAGTBB0A0B1A1B2A2B3A3999798765OALTBOAEQBOAGTBB0A0B1A1B2A2B3A3LED279LED1VCCR1100Ω9V71R3100Ω7906257485N937485NVCC7485N7485NC1100nF0VCC91GND1C21nFLM555CN9VVCCVCC9VR4100Ω101C4100nF10274LS04DC3100nF10347691280ABCDVCCENPENTU35100U378VCCRSTDISTHRTRICONGND1OUT3BUZZER200 Hz 100ΩR519U36B25LM555CN0

图2

3.3单元电路设计

3.3.1秒脉冲电路设计

VCCVCCR2100Ω4RSTDISTHRTRICONGND19V8VCCOUTU30371R3100Ω79062591C1100nF0VCC9VC21nFLM555CN

图3 由555构成的多谐振荡器

电路图如图3所示,由555定时器、电容和电阻组成震荡电路,产生秒脉冲信号。它是数字电子钟的核心部分,它的精度和稳定度决定于数字中的质量。通常晶体振荡器发出的脉冲经过整形、分频获得1Hz的秒脉冲。

555定时器与RC组成的系统接通电源后,电容C1被充电,vc上升,当vc上升到大于2/3VCC时,触发器被复位,放电管T导通,此时v0为低电平,电容C1通过R2和T放电,使vc下降。当vc下降到小于1/3VCC时,触发器被复位,v0翻转为高电平。电容器C1放电结束,所需时间为: T1=0.7R2C 当C1放电结束时,T截止,VCC将通过R1、R2向电容器C1充电,vc由1/3VCC上升到2/3VCC所需的时间为:

T2=0.7(R1+R2)C

当vc上升到2/3VCC时,触发器又被复位发生翻转,如此周而复始,在输出端就得到一个周期性的方波,其频率为:1.43/(R1+2R2)C

本设计中频率可通过以上公式计算出来,f=1Hz

3.3.2时、分、秒计数器电路

一般采用10进制计数器来实现时间计数单元计数功能,要实现这一要求,可选用的中规模集成计数器较多,这里我们选择使用74LS160。

图 4 74LS160 引脚图

如果采用反馈清零方式时在计数一遍后进入重新计数时时间间隔不是一个时间脉冲而是两个,会造成计数不准,例如十进制从0000—0001—0010—„„1001—1010(此状态虽不会显示但已经出来)—0000。故现在采用反馈置数法实现,以十进制为例0000——0001——0010——„„1001 ——0000(不会出现1010状态,故很准)其接法电路如图5图6。

秒信号经秒计数器、分计数器、时计数器之后。分别得到显示电路,以便实现用数字显示时、分、秒的要求。“秒”和“分”计数器应为六十进制,而“时”计数器应为二十四进制。

图 5两块74LS160构成的六十进制计数器

采用置数法74LS160 的3、4、5、6引脚接地,低位的7、10、1引脚和高位1引脚接高电平,高位7、10引脚接低位15引脚。其14—11引脚接显示译码器的7、1、2、6引脚。

图6两块74LS160构成的二十四进制计数器

(1)六十进制计数器。它由两块中规模集成十进制计数器74LS160,一块组成十进制,另一块组成六进制。采用置数法时,当高位出现0101状态,低位为1001状态,即计到59(第60个脉冲),如图5所示六十进制计数器。

(2)二十四进制计数器。它由两块中规模集成十进制计数器74LS160构成。当高位出现0010状态,低位为0011状态,即计到第24个来自“分”计数器的进位信号时,产生反馈置数信号,如图6所示为二十四进制计数器。

3.3.3校时电路

在刚接通电源或者时钟走时出现误差时,则需要进行时间的校准。因此,应截断时分的直接计数通路,并采用正常计数信号与校时信号可以切换的电路接入其中。故我们设计了对时、分、秒各自校时的电路。设计原理是:将74ls160的两个使能端接在一起后接到单刀双掷开关的公共端,再将进位端和高电平分别接到另外两端。当开关按下时接入高电平,反之便会接到进位端。

图7 校时部分电路原理图

通过一个单刀双掷开关控制接入“时”计数电路的脉冲信号。若要校时,将校时脉冲信号引入“时”计数器,让其快速计数,在时计数器显示到需要的数字后再切掉校时信号,引入正常脉冲信号,完成校时功能。校分的原理和校时一样。

校时电路的连接情况如图8所示

图8 校时电路连接

3.3.4译码显示电路

选用器件时应当注意译码器和显示器件相互配合。一是驱动功率要足够大,二是逻辑电平要匹配秒计数器、分计数器、和时计数器的计数分别输送给各自的显示译码器74LS48,在数送给各自的数码管,显示出时、分、秒的计时。电路如图9所示为计数、译码显示电路。

图9译码显示电路

图10 74LS48引脚图

这里采用74LS48作为显示译码器,A0~A3接74LS160的QA~QD端3、4、5引脚都接高电平,9~15端接七段数码管。七段数码管引脚图如下图11(共阴极)

图11 七段数码管引脚图

译码显示电路在仿真中的连接情况如图12

图12

3.3.5定时电路设计

每当数字时钟计时与所设定的时间相同时开始发出5s的响声,响声是从第1s开始到第6s,响声的频率一样,即所发出的声音是一样的没有变化。定时电路即逻辑见下图13。

图13定时响5s真值表

由卡诺图可以计算出定时响5s的逻辑,其逻辑电路连接见下图14

VCC100R4100Ω101C4100nF103C3100nF8VCC47625RSTDISTHRTRICONGND1OUT3U35U37BUZZER200 Hz 100ΩR5LM555CN0

图14 响5s逻辑电路连接 4.软件仿真

4.1仿真电路图

GNDGNDCKCKCKCKCKCKU24ABCDEFGABCDEFGU25ABCDEFGU13ABCDEFGU15ABCDEFGU6ABCDEFGU******14474849505***109***9606162******09101112***1109***3242526***14OAOBOCODOEOFOG***14OAOBOCODOEOFOG~LT~RBI~BI/RBO~LT~RBI~BI/RBO~LT~RBI~BI/RBO~LT~RBI~BI/RBO~LT~RBI~BI/RBOABCDABCDABCDABCD7126354ABCD71263547126ABCDU1274LS48NU1474LS48N***12653547255U16B7474LS08D64756314***U3B7874LS08D16171887~LOAD~CLR***11537U21B74LS03N14***3121115QAQBQCQDQAQBQCQDQAQBQCQDRCORCORCOQAQBQCQD14***31211~LOAD~CLR~LOAD~CLR~LOAD~CLRQAQBQCQDRCOQAQBQCQDCLKCLKCLKENPENTENPENTENPENTENPENTCLKU20B2774LS08DU17AABCDABCDABCD710710710ABCD54RCO74LS160N710~LOAD~CLR~LOAD~CLR***45691234569121U274LS160NCLK15U18U1974LS160NU107374LS160NRCOU974LS160N354~LT~RBI~BI/RBOU2274LS48NU2374LS48NU574LS48NOAOBOCODOEOFOGU774LS48NOAOBOCODOEOFOGOAOBOCODOEOFOGOAOBOCODOEOFOGU174LS160NCLK2ENPENT300710VCC9VU26A9VVCC274LS21N710VCCU11BABCD34569123456U4BVCC674LS00D74LS00D09VVCC78J23J1U27ALTBAEQBAGTBB0A0B1A1765OALTBOAEQBOAGTBB2A2B3A***11574LS21D3877I2BIPOLAR_CURRENT092U28ALTBAEQBAGTB***1588Key = SpaceVCC29I1BIPOLAR_CURRENT0U34ALTBAEQBAGTBB0A0B1A1765OALTBOAEQBOAGTBB2A2B3A***115Key = Space8584U29OR***OALTBOAEQBOAGTBB0A0B1A1B2A2B3A380VCCU33ALTBAEQBAGTB***1596U32ALTBAEQBAGTB***15U31ALTBAEQBAGTB***15VCCR2100Ω04RSTDISTHRTRICON9V7485N7485N8VCCOUTU3038689765OALTBOAEQBOAGTBB0A0B1A1B2A2B3A39495765OALTBOAEQBOAGTBB0A0B1A1B2A2B3A3999798765OALTBOAEQBOAGTBB0A0B1A1B2A2B3A3LED279LED1VCCR1100Ω9V71R3100Ω7906257485N937485NVCC7485N7485NC1100nF0VCC91GND1C21nFLM555CN9VVCCVCC9VR4100Ω101C4100nF10274LS04DC3100nF10347691280ABCDVCCENPENTU35100U378VCCRSTDISTHRTRICONGND1OUT3BUZZER200 Hz 100ΩR519U36B25LM555CN0

4.2仿真过程

按下仿真开始开关,观测时钟是否正常计时。键盘上的A和B分别控制着校时和校分,按下A开始校时,再次按下,校时停止;按下B开始校分,再次按下,校分停止。让钟表计时到整点,观测整点指示灯是否点亮。

4.3仿真结果

按下仿真开关后,数字钟可以正常计时,从左至右依次是“时”十位,“时”个位,“分”十位,“分”个位,“秒”十位,“秒”个位。

按下校时开关和校分开关后,可以正常校时和校分。

时钟计时到23点59分59秒后,会全部清零,重新开始新的一天。

5.结论

通过这次对数字钟的设计与制作,对电子技术有了一些初步了解,但那都是一些理论的东西。通过这次数字电子钟的课程设计,我们才把学到的知识与实践相结合。从而对我们学的知识有了更进一步的理解,使我们进一步加深了对所学知识的记忆。

在此次的数字钟设计过程中,我更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。虽然这只是一次简单的课程设计,但通过这次课程设计我们了解了课程设计的一般步骤,和设计中应注意的问题,同时我们也掌握了做设计的基本流程,为我们以后进行更复杂的设计奠定了坚实的基础。

6.使用仪器设备清单

1.555定时器 2.74LS160 3.74LS161 4.6段译码显示器 5.脉冲发生器 6.74LS48 7.74LS20 8.74LS04 9.74LS08 10.单刀双掷开关

7.参考文献

1.马学文,李景宏.电子技术实验教程.北京:科学出版社.2013 2.李景宏,王永军编著.数字逻辑与数字系统.北京:电子工业出版社,2012 3.高吉祥,易凡编著.电子技术基础实验与课程设计.北京:电子工业出版社,2002 4.王义军.数字电子技术基础.北京:中国电力出版社,2007 5.黄培根,任清褒.Multisim7&电路分析基础实验.浙江:浙江大学出版社,2002 6.贾更新.电子技术基础实验,设计与仿真.郑州:郑州大学出版社,2006 7.赵淑范.电子技术实验与课程设计.北京:清华大学出版社,2006

8.收获、体会和建议

十多天的数字电子课程设计马上就要画上圆满的句号,在这期间的收获很多,高兴过沮丧过,当电路终于能够符合设计指标和要求的时候,心情无比的舒畅。但是mutisim本身的一个缺陷使得无法在仿真的时候使用晶振加上4060产生1赫兹的方波,但是在现实的情况下,这样是完全能够产生的。所以在做仿真的时候就用了555多谐振荡电路来代替,以检验其他功能模块是否符合设计的要求。在这次课设期间是我更加熟练的掌握了仿真软件multisim的一些用法,原来没有发现的功能在这次做课设的时候学会了,我想这是一大收获。另外这次课设也让我更加了解一些元器件的功能如74ls160,74ls40以及一些门电路逻辑功能的算法。

设计本身并不是有很重要的意义,而是同学们对待问题时的态度和处理事情的能力。至于设计的成绩无须看的太过于重要,而是设计的过程,设计的思想和设计电路中的每一个环节,电路中各个部分的功能是如何实现的。各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点。同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。另外,我们设计要从市场需求出发,既要有强大的功能,又要在价格方面比同等档次的便宜。

第三篇:数电课程设计报告-数字电子钟

课程设计报告

设计题目:数字钟设计与实现

班级:

学号: 姓名:

指导教师:

设计时间:2014年7月

摘要:

钟表作为一种定时工具被广泛的使用在生产生活的各方面。人类最初依靠太阳的角度来进行定时,所以受天气的影响比较大,为了克服依靠自然现象定时的缺点人们发明的机器钟表,电子钟表一系列的定时工具。自改革开放以来我国科技得以高速发展,尤其是电子技术的飞速发展。各种各样的电器器材凭空而出。

数字钟是一种用数字电路实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的应用。钟表的数字化给人们生产生活带来了极大的方便,诸如定时报警,按时自动打铃,时间程序自动控制,定时启闭路灯等等,所有这些都是以数字钟为基础的。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。数字电子钟由以下几部分组成:分频器、脉冲发生器、校正电路、60进制的秒、分计数器和24进制的时计数器以及分、时、秒的7段共阴译码显示部分,能够完成显示时间、调整时间、整点报时等基本功能。

关键词:整点报时、译码显示、校时

目录

1.概述 1 2.课程设计任务及要求

设计任务 3 设计要求

3.理论设计

方案论证 3 系统设计

结构框图及说明 4 系统原理图及工作原理单元电路设计

震荡电路 6 分频电路 7 计数电路 8 校时电路 10 译码显示电路 12 整点报时系统 13 4.软件仿真

4.1 仿真电路图 15 仿真过程 15 仿真结果 16 5.结论 6.使用仪器设备清单 7.参考文献 8.收获、体会和建议 3 19 2.1 2.2 3.1 3.2 3.2.1 3.2.2 5 3.3 3.3.1 3.3.2 3.3.3 3.3.4 3.3.5 3.3.6 4.2 4.2 20

2.课程设计及要求

2.1设计任务

试设计一个数字电子钟,译码显示电路将“时”、“分”、“秒”计数器的输出状态经七段显示译码器译码,通过LED七段显示器显示出来,并且具有校时、校分、整点报时功能,能够显示23h59m59s,归零后重新开始。

2.1设计要求

独立完成系统的原理设计。说明系统实现的功能,应达到技术指标,进行方案论证,确定设计方案。画出电路图,说明各部分电路的工作原理,初步选定所使用的各种器件的主要参数及型号,列出元器件明细表。系统中包含的中小规模集成电路的种类至少在六种以上。根据理论设计用multisim 7在计算机上进行仿真。验证所设计方案的正确性。

3.理论设计

3.1方案论证

分和秒计时需要六十进制计数器,“时”需要二十四进制计数器,用74LS161和74LS160均可实现六十进制和二十四进制计数器。译码显示电路将“时”、“分”、“秒”计数器的输出状态经七段显示译码器译码,通过LED七段显示器显示出来。整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发触发器

实现报时。校时电路是通过改变时计数器和分计数器的输入脉冲来实现校时功能,新的脉冲由脉冲发生器和分频器发出。

3.2系统设计

3.2.1结构框图及说明

原理框图如图3-1所示

图3-1

工作时,555构成的多谐振荡器产生稳定的秒脉冲信号并送入计时电路,当秒计数器满60秒时输出秒进位脉冲,送入分计数器,当计分器满60分时,输出分进位脉冲送入时电路计数,当计时电路满24时时,时、分、秒计数器同时清零。校时电路分别控制对时和分的校正。根据计时电路的输出状态,整点报时电路在

每个整点都会显示十秒的提示。

3.2.2 系统原理图及工作原理

系统具体电路连接情况如图3-2

图3-2

3.3单元电路设计

3.3.1震荡电路

图3-3-1 555构成的多谐振荡器

电路图如图3-3-1所示,由555定时器、电容和电阻组成震荡电路,产生秒脉冲信号。

555定时器与RC组成的系统接通电源后,电容C1被充电,vc上升,当vc上升到大于2/3VCC时,触发器被复位,放电管T导通,此时v0为低电平,电容C1通过R2和T放电,使vc下降。当vc下降到小于1/3VCC时,触发器被复位,v0翻转为高电平。电容器C1放电结束,所需时间为: T1=0.7R2C 当C1放电结束时,T截止,VCC将通过R1、R2向电容器C1充电,vc由1/3VCC上升到2/3VCC所需的时间为:

T2=0.7(R1+R2)C 当vc上升到2/3VCC时,触发器又被复位发生翻转,如此周而复始,在输出端就得到一个周期性的方波,其频率为:1.43/(R1+2R2)C

本设计中频率可通过以上公式计算出来,f=1Hz

3.3.2分频电路

分频器能将高频脉冲变换为低频脉冲,它可由触发器以及计数器来完成由于一个触发器就是一个二分频,N个触发器就是2n个分频器。如果用计数器做分频器,就要按进制数进行分频。例如十进制计数器计是十分频器。分频器的功能主要有两个:一个是产生标准秒脉冲信号;二是提供功能扩展电路所需要的信号。

本设计中,需要对1kHz分频,等到校时脉冲。其电路图如图3-3-2所示

图3-3-2 分频电路

从图3-3-2可以看出,由信号发生器的1000Hz高频信号从U20的14端输入,经过3片74LS90的三级1/10分频,就能从U21的11端输出得到标准的秒脉冲信号,用于校时脉冲。

3.3.3计数电路

“时”计数器要用到二十四进制计数器,“分”、“秒”计数器要用到六十进制计数器,这里采用74160和74161构成二十四进制计数器和六十进制计数器。

74161和74160的功能表如图3-3-3和3-3-4所示,8

图3-3-3

图3-3-4 六十进制计数器的电路连接如图3-3-5 9

图3-3-5六十进制计数器

74160的时钟输入端连接秒输入信号,将它的进位输出信号与74161的时钟输入端连接,每过十秒,74160就向74161发出一个时钟信号,通过与非门和74161的同步清零端Ld,将74161改成六进制计数器,从而整体构成了六十进制计数器。

二十四进制计数器连接如图3-3-6所示

图3-3-6二十四进制计数器

将74160和74161的异步清零端Cr与与非门的输出端连接,每当计数到二十四就异步清零,完成二十四进制计数。

3.3.4校时电路

当时钟指示的时间与实际时间不符的时候,就需要校时电路来对时钟显示的时间进行调整。

图3-3-7校时电路原理图

校时电路的基本原理如图3-3-7所示,通过一个单刀双掷开关控制接入“时”计数电路的脉冲信号。若要校时,将校时脉冲信号引入“时”计数器,让其快速计数,在时计数器显示到需要的数字后再切掉校时信号,引入正常脉冲信号,完成校时功能。校分的原理和校时一样。

校时电路的连接情况如图3-3-8所示

图3-3-8校时电路连接

3.3.5译码显示电路

译码驱动电路是将“秒”、“分”、“时”计数器输出的8421BCD码进行编 12

译,转换为数码管需要的逻辑状态。若将秒、分、时计数器的每位输出分别于相应七段译码器的输出端连接,在脉冲的作用下便可进行不同的数字显示。

图3-3-9 7448的逻辑图

图3-3-10 7448的真值表

译码显示电路在仿真中的连接情况如图3-3-11

图3-3-11

3.3.6整点报时系统

整点报时电路设计为每当是整时前十秒的时候,提示灯点亮,持续十秒,当提示灯熄灭时正好是整点,每隔一个小时提示一次。因为整点报时电路未涉及到“时”,所以只与“分”“秒”计时器有关。

记“秒”个位计数器输出端为A1、A2、A3、A4,“秒”十位计数器输出端为B1、B2、B3、B4,“分”个位输出端为C1、C2、C3、C4,“分”十位输出端为D1、D2、D3、D4,当需要整点报时的时候,D1D2D3D4=0101,C1C2C3C4=1001,B1B2B3B4=0101,只有秒个位正常计数。

D2D4C1C4B2B4

图3-3-12

图3-3-13

4.软件仿真

4.1仿真电路图

4.2仿真过程

按下仿真开始开关,观测时钟是否正常计时。键盘上的A和B分别控制着校时和校分,按下A开始校时,再次按下,校时停止;按下B开始校分,再次按下,校分停止。让钟表计时到整点,观测整点指示灯是否点亮。

4.3仿真结果

按下仿真开关后,数字钟可以正常计时,从左至右依次是“时”十位,“时”个位,“分”十位,“分”个位,“秒”十位,“秒”个位。

按下校时开关和校分开关后,可以正常校时和校分。

每当到整点时间前十秒的时候,左下角的指示灯会亮起,十秒后熄灭。

时钟计时到23点59分59秒后,会全部清零,重新开始新的一天。

5.结论

通过本次设计,使我对已学过的电路、数电、模电等电子技术的知识有了更深一步的了解,锻炼和培养了自己利用已学知识来分析和解决实际问题的能力。对自己以后的学习和工作有很大的帮助。虽然,在本设计中所用的方案不 19

是最好的,但我想其中的原理是最基本的;虽然其中可能出现的误差会计较大些,但是是最经济的和实用的。我相信以后我会设计出更加合理的方案。

6.使用仪器设备清单

1.555定时器 2.74LS160 3.74LS161 4.7段译码显示器 5.脉冲发生器 6.74LS90 7.74LS30 8.7400 9.单刀双掷开关 10.小灯泡

7.参考文献

1.马学文,李景宏.电子技术实验教程.北京:科学出版社.2013 2.李景宏,王永军编著.数字逻辑与数字系统.北京:电子工业出版社,2012 3.高吉祥,易凡编著.电子技术基础实验与课程设计.北京:电子工业出版社,2002

8.收获、体会和建议

通过这次课程设计,我对数字电子技术的理论知识的理解更加深刻,对时序电路的设计步骤也更加熟悉。经过这次数字电子电路的课程设计,我的数电知识得到巩固,并且有了一定程度的提高,对数字钟的工作原理有了比较深刻的理解,对数字电子设计的过程及其涉及的工具有更深入的认识。此次设计立足于电子技术的实际运用,不断实践,调试的流程,使我深刻的体会到了在学习我们专业的过程中理论与实践相结合的重要性,同时也解决了以前学习比较模糊的专业知识点,使自己掌握的专业知识更加结构化、系统化。

此外,相互讨论共同研究是设计过程的重中之重,增加了实际操作能力,再让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦与快乐。总之,这次课程设计对我能力的提升有很大的帮助。此外,本次课程设计比较仓促,只是完成了设计的基本功能,其拓展功能没有精力去深究,等到以后有时间再逐步完善该电路的拓展功能。由于时间短暂和本人能力有限,本电路的设计可能存在一定问题和缺陷,如有发现希望老师能够给予批评指正。

第四篇:数字电子钟课程设计

目录

一. 引言„„„„„„„„„„„„„„„„„„„„„„„2

二. 课程设计目的„„„„„„„„„„„„„„„„„„„2

三. 设计所需要的器材„„„„„„„„„„„„„„„„„2

四. 课程设计原理„„„„„„„„„„„„„„„„„„„2

五. 课程设计各个部分模块的介绍„„„„„„„„„„„„2

1.振荡器„„„„„„„„„„„„„„„„„„„„„„„„„„„„2.2.分频器„„„„„„„„„„„„„„„„„„„„„„„„„„„„3.3.计数器„„„„„„„„ „„„„„„„„„„„„„„„„„„„„3.4.译码器„„„„„„„„„„„„„„„„„„„„„„„„„„„„3

5.显示器„„„„„„„„„„„„„„„„„„„„„„„„„„„„4

6.正点报时的扩展电路„„„„„„„„„„„„„„„„„„„„„„4

六.设计总结„„„„„„„„„„„„„„„„„„„„„„4

七.心得体会„„„„„„„„„„„„„„„„„„„„„„4

八.各部分电路图„„„„„„„„„„„„„„„„„„„5—8

九.总电路图„„„„„„„„„„„„„„„„„„„„„.9

一.引言

当今时代,电子技术迅猛发展,各种各样的电子产品也相继出现,数字电子钟也应运而生。数字电子钟能够将时间以数字的形式直观地展现出来,让人们更加清楚地掌握时间,因此备受人们的青睐。数字钟是采用数字电路来实现的,以“时”、“分”、“秒”的形式直观地显示时间。它已成为人们日常生活必不可少的一部分,广泛地应用在各家各户以及车站等公共场所,数字钟的广泛应用,有着非常现实的意义,由于数字集成电路的发展,使得数字电子钟的精度,远远超过老式钟表, 而且具有较好报时功能。本设计采用各种集成电路,进行了一个具有正点报时功能的数字电子钟的设计。由于本人能力有限,设计中如有不足之处,还请老师批评指正。

二.课程设计目的1.独立完成一个数字电子钟的设计;

2.了解和掌握用数字集成电路来设计数字钟的基本原理和方法;

3.掌握N进制计数器的设计与并了解一些常用的电子芯片的功能;

4.进一步巩固所学到的理论知识,并应用所学知识分析和解决实际问题;

三.设计所需要的器材

1.555定时器一个

2.电阻:2K、10K、5.1K、0.3K各一个;1K电阻42个

3.电容:0.1ūF、0.01ūF各一个

4.芯片:74LS90(三个)、74LS161(两个)CD4518一个、CD4511(六个)

5.共阴极七段显示器(六个)

6.喇叭1个

四.课程设计原理

数字钟是由振荡器、分频器、计数器、译码器、显示器以及具有正点报时功能的扩展电路所构成的。

欲设计一个数字电子钟,首先应该有一个脉冲源(能够自动的产生稳定的标准时间脉冲信号),即为振荡器;但是一般脉冲源所产生的脉冲信号的频率较高,所以,就需要使用分频器对其进行分频,从而得到适合用来计时的秒脉冲信号,即频率为1Hz的秒脉冲信号;经过分频器输出的秒脉冲信号,再进入计数器当中进行计数,又由于在计数时,北京时间规定60秒为一分钟,60分钟为一小时,24小时为一天,因此就需要两个60进制的计数器和一个24进制的计数器;计数器计数完毕后再经过译码器进行译码;最后在显示器中将累计结果以“时”、“分”、“秒”的形式显示出来。能够正点报时的扩展电路完成了对整时的提示,使人们能够更清楚地掌握时间。图1为数字钟的逻辑框图。

五.课程设计各个部分模块的介绍

1.振荡器

振荡器的精确度和稳定性对电子钟的质量影响最大,石英晶体振荡器具有震

荡频率准确、频率容易调整且电路结构较简单的优点。但一般来讲,如果振荡器的频率和其计时精度越高,则其耗电量越大。555定时器是一种将模拟功能与逻辑功能巧妙地结合在一起的中规模集成电路,功能灵活,所以本设计采用由集成电路定时器555与RC组成的多谐振荡器。555定时器由电阻分压器、比较器、基本RS触发器、双极型三极管T和输出缓冲器组成,其外部有八个引脚,第8脚为电源端,第1脚为接地端,第3脚为输出端,第4脚为直接复位端,第5脚为控制电压输入端,第6脚为复位控制端,第2脚为置位控制端,第7脚为放电端。图2为由集成电路定时器555与RC组成的多谐振荡器的电路图,图3为555定时器的引脚图。R为可调电阻,调节R1时可以得到相应频率的信号输出。

2.分频器

由于振荡器所产生的信号频率很高,因此需要由分频器来实现对信号频率的调整,从而得到频率为1Hz的脉冲信号,本设计采用3片中规模集成电路计数器74LS90来实现,从而得到设计所需要的秒脉冲信号,其电路图如图4所示。

3.计数器

“秒”和“分”计数器应当采用60进制计数器,而“时”计数器应当采用24进制计数器。秒脉冲信号经过六级计数器以后,分别得到“秒”的个位、十位,“分”的个位、十位,“时”的个位、十位的计时。

60进制计数:“秒”和“分”的计数都需要60进制,本设计根据《电子技术》课本中提到的知识,采用两片74LS161组成256进制计数器后再用反馈归零法来组成60进制计数,其中,“秒”十位是六进制,“秒”个位是十进制其电路图如图5所示。74LS161芯片的引脚排列图和逻辑功能示意图如图6所示。图中C是输入计数脉冲,CR非是清零端,LD非是置数端,CTp和CTt是计数工作状态控制端,D0~D3是并行数据输入端,CO是进位信号输出端,Q0~Q3是计数器状态输出端。

24进制计数:“时”的计数是24进制计数,本设计采用CD4518来实现24进制计数,CD4518是一个同步加法计数器,在一个封装中含有两个可互换二/十进制计数器,其功能引脚分别为1~7和9~{15}.该CD4518计数器是单路系列脉冲输入(1脚或2脚;9脚或10脚),4路BCD码信号输出(3脚~6脚;{11}脚~{14}脚)。完成24进制计数的电路图如图7所示,CD4518的引脚图如图8所示。

4.译码器

我们在新校区做电子试验时,在“译码器及其应用”实验中曾用到芯片CD4511,对其较为熟悉,因此本设计采用数字显示译码器CD4511,来实现计数器传来的信号的译码功能。译码是编码的逆过程,即,将给定的代码进行翻译的过程。当计数器所采用的码制不同时,译码电路也会随之不同。CD4511内接有

上拉电阻,故只需在输入端与数码管笔段之间传入限流电阻即可工作。其特点为:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。CD4511的引脚图如图9所示。

5显示器

本设计用七段发光二极管来显示译码器所输出的数字,显示器有共阳极显示器和共阴极显示器两种,而74LS48译码器所对应的显示器是共阴极(接地)显示器。LED7段显示器的外形图及二极管的连接方式如图10所示。

6.正点报时的扩展电路

该正点报时的功能为:最外端对其安装一个喇叭,每当正点到来时,按4次低音和一次高音的顺序发出间断声响,最后一声高音结束的时刻正好是正点。设声响为一秒钟,则相邻声响时刻为2秒,则低音发声时刻分别为59分51秒、53秒、55秒、57秒,高音发声时刻为59分59秒,由此可定出每次声响的时刻。部分门电路控制音响,输入有时、分的各相应位的控制组合。设高低音频率分别为512Hz和1024Hz。其电路图如图11所示。

六.设计总结

本数字电子钟的设计是由振荡器、分频器、计数器、译码器、显示器和正点报时电路所组成。但本设计电路的缺点是:没有设计校时电路,即据该方案进行生产得到的产品并无校时功能;且其只能正点报时,而不能报整时数。该设计方案的优点是:采用北京时间计时,直接将时间以数字形式表现出来、精确度较高、走时稳定、使用方便、且它具有正点报时功能。本设计采用有集成电路定时器555与RC组成的多谐振荡器组成,由它得到高频信号;再将此信号传至由3片中规模集成电路计数器74LS90相串联得到的分频器中,从而得到我们计时所需要的秒信号(频率为1Hz的秒信号);之后再将该信号传至计数器,计数器计数的准确性直接影响数字表的准确,且计数器部分是我们《电子技术》课程学习的重点,计数器包括两部分,即24进制计数和60进制计数,本设计24进制计数采用CD4518来实现,60进制采用我们《电子技术》课本上学到的方法:采用两片74LS161组成256进制计数器后再用反馈归零法来组成60进制计数器;经过准确计数后,再将信号传至译码器,由于我们在新校区做电子试验时,在“译码器及其应用”试验中曾用到芯片CD4511,故本设计采用显示译码器CD4511,来进行对来自计数器信号的译码(需要在输入端与数码管笔段之间串入限流电阻);最后将时间以数字形式体现在显示器上,显示器由七段发光二极管采用共阴极接法组成;本设计还有一个能够正点报时的扩展电路,它的功能是每当正点到来时,按4次低音和一次高音的顺序发出间断声响,它由组合逻辑电路组成。其总电路图如图12所示。

七.心得体会

通过这次对数字电子钟的课程设计,我觉着最大的收获就是增强了自己独立收集资料的能力,锻炼了自己独立思考、独立解决问题的能力。虽然我们至此已经完成了本学期对电子技术课程(模电部分和数电部分)的学习,但在本次课设的实际应用当中仍然遇到了很多未曾想到的问题。实际操作是我们的目的,而理论知识是我们实际操作的基础,这使我更加体会到了理论联系实际的重要性,同时也增加了自己解决实际问题的能力,对独立设计电路的过程、对各个分块电路的工作原理和功能的实现过程都有了更加清楚的了解。同时对所学到的理论知识有了更近一部的理解(尤其是计数器部分)。

此外,通过这次的课程设计,使得我对word等应用软件的应用能力有了更进一步的提高,为以后的工作和日常生活中的应用打下了结实的基础。

八.各部分电路图如下:

第五篇:数字电子钟课程设计

课程设计名称: 电子技术课程设计

题 目: 数字电子钟课程设计

专 业:班 级:姓 名:学 号:

电气工程及其自动化 电气10-5 曹庆春 1

1001150103

目录

1.综述……………………………………………………………………1 2.电路组成………………………………………………………………2 2.1电路原理组成………………………………………………………2 2.2振荡电路……………………………………………………………3 2.3分频电路……………………………………………………………4 2.4计数电路……………………………………………………………5 2.4.1二十四进制的实现………………………………………………5 2.4.2六十进制的实现…………………………………………………5 2.5译码与显示电路……………………………………………………6 2.6校时电路……………………………………………………………7 2.7报时电路……………………………………………………………8 3.整体电路图…………………………………………………………10 4.结论…………………………………………………………………13 5.心得体会……………………………………………………………14 6.参考文献……………………………………………………………15

1.综述

数字电子钟主要分为数码显示器,60进制和24进制计数器,频率振荡器和校时报时这几个部分。数字电子钟要完成显示需要6个数码管,七段的数码管需要译码器械才能显示,然后要实现时、分、秒的计时器需要60进制计数器和24进制计数器,60进制、24进制可以采用74LS160计数器构成。秒信号可以由555定时器产生脉冲并分频为1Hz。

2.1电路的组成原理

数字钟它的计时周期为24小时,显示满刻度为23时59分59秒。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”,校时电路、报时电路和振荡器、分频器组成。秒信号是整个系统的基信号,它直接决定计时系统的精度,本设计采用555振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,图示为数字电子钟钟的一般构成框图。

图2.1 数字电子钟的结构图

2.2振荡电路

数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,振荡器的频率越高,计时精度越高。

本设计采用555构成的自激多谐振荡器通过调节电阻值产生1000Hz的高频信号。由

f11TR12R2Cln2,设C0.01uF,可得R15.1k,R270k.从而产生f1000Hz的信号。

2.3分频电路

要精确输出1Hz脉冲,对电容和电阻的数值精度要求很高,所以输出脉冲不够准确也不够稳定。用555直接产生1Hz的信号不准确,所以用其先产生f1000Hz的高频信号,在经过1000分频的分频电路产生1Hz的秒脉冲,这样做可以保证秒信号的准确性与稳定性。分频器是三个用十进制计数器74LS90串联而成的分频器,分频原理是在74LS90的输出端子中,从低位输入10个脉冲才从高位输出1个脉冲,这样一片74LS90就可以起分频作用,三个74LS90串联就构成了千分频电路,输出的便是1Hz的信号,从而可以实现秒脉冲的产生。

图2.3 千分频器

分频电路产生的1Hz秒脉冲:

图2.4 分频过后的秒脉冲

2.4计数电路

数字钟的计数电路是用两个六十进制计数电路和一个二十四进制计数电路实现的。数字钟的计数电路可以用反馈清零法。当技术器正常计数时,反馈门不起作用,只有当进位脉冲来到时,反馈信号将计数电路清零,实现相应的循环计数。用74LS160实现六十进制与二十四进制的计数电路。

2.4.1时计数器:用两片74LS160串行进位实现二十四进制

图2.5 二十四进制计数器

2.4.2分、秒计数器:用四片74LS160串行进位分别实现两片六十进制

图2.6 六十进制计数器

2.5译码与显示电路

译码与显示电路如图,译码是编码的相反过程,译码器是将输入的二进制代码翻译成相应的输出信号。常用的集成译码器有二进制译码器、二—十进制译码器和BCD—7段译码器。

本设计用74LS47D作为译码器与七段数码管相连接。译码与显示电路连接原理图:

图2.7 译码与七段数码管接线图

秒计数数码显示:

图2.8 秒计数显示图

2.6校时电路

校时电路如图琐事,用到的元器件有三个单刀双掷开关S1,在设计中使用1、2、3脚。脚1接从分频器出来的1Hz标准脉冲,脚2接正常的进位脉冲,脚3接输入时钟信号CLK。当正常工作时将开关打到2,进行正常的计数,即校时时不影响正常计数。

图2.9 单刀双掷开关图

下图示为分校时电路:

图2.10 分校时电路

2.7报时电路

2.7.1蜂鸣器工作原理图:

给高电平工作通过调节蜂鸣器的频率与电压来实现蜂鸣器的声音的大小与品质。

图2.11 蜂鸣器接线图

2.7.2报时电路图

电路应在整点前5秒开始报时,即在59分55秒到59分59秒期间时,报时电路控制信号。

当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位保持不变,分别为5、9、5,因此可将计数器十位的Qc和Qa,个位的Qd和Qa及秒计数器十位的Qa和Qc相与,从而产生报时控制信号。

由于与门容易产生竞争冒险现象,故采用与非门和非门串接。电路如下图所示:

图2.12 报时电路示意图

由于使用的是TTL门电路,所以允许悬空。

图2.13 报时电路实际接线图

3.整体电路图 3.1 进位脉冲

图3.1 进位脉冲

图3.2整体电路图

图3.3 秒计数与报时电路整体电路图

图3.4 秒计数与报时电路整体电路图

图3.5 分计数整体电路图

结论

数字电子钟的实现方法很多,根据我所学的知识,选择恰当的计数器和振荡电路来控制其信号的稳定性。数字电子钟电路的振荡电路,分频电路,计数器电路,译码与数码管显示电路,校时电路,报时电路都是息息相关的。其中每一个部分都得做到准确性来保证数字电子钟的精确性。本设计采用555多谐振荡产生1000Hz信号,在经过分频器产生1Hz的秒信号,其实采用石英晶振振荡电路,这样产生的信号更加的稳定与精确。

课程设计体会

这学期期末我们做了数字电子技术课程设计,我设计的是数字电子钟。个人觉得这是一次将理论应用与实践的活动,在设计过程中不仅锻炼了我们积极思考的好习惯,而且培养了我们一丝不苟的作风,严谨求实的态度,踏踏实实的精神。

由于拥有模拟电子技术基础和数字电子技术基础的理论知识,加之这次的课程设计,使我对以往的一些知识有了更深入的理解。

我在设计过程中认真的翻阅大量的书籍,去网上搜寻资料,在看了很多思路之后形成了一套我自己的思路。所谓博览群书,而后了然于胸。一旦形成了自己的思路,在设计过程中可以说是得心应手,泉思涌动。当然在设计过程中,我学会了Multism这个软件进行仿真,感觉用的挺好的,仿真是数字电子钟运行的良好。我感觉这增强了我以后设计的信心,我也喜欢上了设计,很好的培养了我对设计的兴趣,启蒙了我。I will remember it forever!

参考文献及资料

【1】Multism9在电工电子技术中的应用/董玉冰主编.—北京:清华大学出版社,2008.11 【2】数字电子技术基础/阎石主编;清华大学电子学教研组编.—5版.—北京:高等教育出版社,2006.5 【3】模拟电子技术基础/童诗白,华成英主编;清华大学电子教学教研组编.—4版.—北京:高等教育出版社,2006.5 【4】数字电子技术实验与实践/吴慎山主编.—北京:电子工业出版社,2011.4 【5】Multism7电路设计及仿真应用/熊伟等主编.—北京:清华大学出版社,2005.7 【6】数字电子技术基础/范文兵主编.—北京:清华大学出版社,2007.12 【7】数字逻辑电路实验/候传教等编.—北京:电子工业出版社,2009.7 【8】数字电子技术基础实验教程/张秀娟,薛庆军主编.—北京:北京航空航天大学出版社,2007.10

下载数电课程设计数字电子钟报告word格式文档
下载数电课程设计数字电子钟报告.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    数电课程设计数字电子钟的设计与制作

    一、设计目的 数字电子技术是工科专业的一门专业基础课,该课程理论与实践联系密切,系统性强,课程设计是本课程教学中必不可少的环节,通过设计可以使学生初步掌握基本的数字电路......

    课程设计_数字电子钟设计报告

    数字电子钟设计报告 数字电子钟设计报告 目 录 1.实验目的………………………………………………………………………2 2.实验题目描述和要求 ………………………………………......

    电子钟——合肥工业大学数字逻辑课程设计报告[范文大全]

    一)设计题目: 电子钟 二)设计任务和基本要求: 1.实验台上的六个数码管显示时、分、秒; 2.能使电子钟复位(清零); 3.能启动和停止电子钟运行; 4.在电子钟停止运行状态下,能够修改......

    电子数字时钟课程设计报告(数电)

    数字电子钟的设计 1. 设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到......

    数电课程设计报告

    数电课程设计报告 一、 实验题目: 民航客机客舱服务应答系统 二、 设计思路 我选择的是将22个座位中优先级最高的请求选择出来的电路。实际上就是一个24x5优先编码器。 1. 通......

    数电课程设计报告

    数字电路课程设计报告 题目:数字电子钟 专业: 电气工程及其自动化班级: 08级电气( )班 姓名: 同组队员: 学号: 日期: 2010年 7月 一. 设计目的 1、根据课堂上所学的知识,通过自......

    数电课程设计报告 DT-830数字万用表组装

    设计课题: 姓 名: 学 院: 专 业: 班 级: 学 号: 日 期 指导教师: 数字电子技术 课程设计报告 DT-830数字万用表组装 信息工程学院 山东大学威海分校信息工程学院 孟繁贵 200......

    数电课程设计

    数电课程设计 一、 课程性质 数字电路与逻辑设计课程实训是同学们进入电子设计领域的入门课程之一,认真仔细完成本次课程的设计内容可为今后复杂电路的设计和制作打下良好的......