数字电路课程设计——用VHDL语言设计交通灯(五篇)

时间:2019-05-14 03:33:36下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《数字电路课程设计——用VHDL语言设计交通灯》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《数字电路课程设计——用VHDL语言设计交通灯》。

第一篇:数字电路课程设计——用VHDL语言设计交通灯

数字电路课程设计报告

院系: 电气信息工程学院 班级: 08测控2班 学号: 08314237 姓名: 董 亮 合作: 虞 波 指导教师: 翟 丽 芳

2010年12月10日

引言······················································2 一 设计任务和设计要求·········································2 二 电路工作原理及方案设计································3 三 软件设计与调试········································4 1.分频模块的设计及仿真图·································4 2.控制器设计及仿真图····································6 3.计数器的设计及仿真图···································7 4.分位模块的设计·······································9 5.数码管驱动设计·······································10 6. 顶层文件设置·········································14

四 硬件焊接与组装调试···································15 五 心得体会·············································16 六 参考文献·············································16

交通灯控制电路设计

引言:

随着社会的发展以及人类生活水平的提高,基于CPLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。

如今,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了。为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯。

本程序设计的是交通灯的设计。采用EDA作为开发工具,VHDL语言为硬件描述语言,quartusII作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,实现设计目标。

一.设计任务与设计要求

1. 设计任务

设计一个基于FPGA的十字路口交通控制器,假设南北方向和东西方向,两个方向分别设置红灯、绿灯、黄灯三盏灯,设置一组倒计时显示器,用以指挥车辆和行人有序的通行。红灯亮表示直行车辆禁行;绿灯亮表示直行车辆可以通行;黄灯亮表示直行车辆即将禁行;倒计时显示器用来显示允许通行或禁止通行的时间。尽量采用层次化设计。2. 设计要求

在十字路口南北和东西两个方向各设一组红灯、黄灯、绿灯。设一组倒计时显示器。

自动控制:

设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,南北方向为主干道,红灯、黄灯和绿灯显示时间分别是55、5s、50s。东西方向为次干道,红灯、黄灯绿灯显示时间分别为35s、5s、30s。

特殊功能:

(1)紧急状态时,手动拨动紧急开关,主干道以及次干道都显示红灯,禁止通行,并由蜂鸣其报警。

(2)黄灯显示信号为脉冲信号,使得黄灯为“一闪一闪”的显示状态。

二.电路工作原理及方案设计

图1总体设计框图

在VHDL设计描述中,采用自顶向下的设计思路,首先要描述顶层的接口,上面的描述已经规定了交通灯控制的输入输出信号:输入信号:外部时钟信号clk。LED在自顶向下的VHDL设计描述中,通常把整个设计的系统划分为几个模块,然后采用结构描述方式对整个系统进行描述。通过上面的分析,不难得知可以把交通灯控制系统划分为4个模块:时钟分频模块,计数模块,控制模块,分位译码模块。

分频电路:输入较高频率脉冲用分频电路的到较

(HOLD=‘1’)发生是,计数器暂停计数。

分位译码电路:因为控制器输出的倒计时数值可能是1位或者2位十进制数,所以在七段数码管的译码电路前要加上分位电路(即将其分为2个1位的十进制数)。七段数码管的译码电路根据控制电路的控制信号,驱动交通灯的显示,通过输入二进制数值,输出信号点亮二极管,我们用的是共阴极数码管,因此译码电路输出逻辑数值‘1’点亮二极管,译码电路输出逻辑数值‘0’熄灭二极管。

三.软件设计与调试

图2模块化设计原理图

1.分频模块的设计及仿真图

分频器1实现的是将高频时钟信号转换成底频的时钟信号,用于触发控制器、计数器和扫描显示电路。该分频器实现的是1000分频,将50M赫兹的时钟信号分频成50000赫兹的时钟信号。LIBRARY IEEE;USE IEEE.Std_Logic_1164.ALL;ENTITY FreDevider IS PORT(Clkin:IN Std_Logic;Clkout:OUT Std_Logic);

END;ARCHITECTURE Devider OF FreDevider IS CONSTANT N:Integer:=499;Signal counter:Integer range 0 to N;signal Clk:Std_Logic;BEGIN PROCESS(Clkin)begin IF rising_edge(Clkin)THEN IF Counter=N then counter<=0;Clk<=not clk;else counter<=counter+1;end if;end if;end process;clkout<=clk;end;分频器2实现的是50000分频,将50000赫兹的时钟信号分频成1赫兹的时钟信号。

LIBRARY IEEE;USE IEEE.Std_Logic_1164.ALL;ENTITY FreDevider1 IS PORT(Clkin:IN Std_Logic;Clkout:OUT Std_Logic);END;ARCHITECTURE Devider1 OF FreDevider1 IS CONSTANT N:Integer:=24999;signal counter:Integer range 0 to N;signal Clk:Std_Logic;BEGIN PROCESS(Clkin)begin IF rising_edge(Clkin)THEN IF Counter=N then counter<=0;Clk<=not clk;else counter<=counter+1;end if;end if;end process;

clkout<=clk;end;

2.控制器设计及仿真图

控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段译管的分译码电路。此外,当检测到特殊情况(Hold=‘1’)发生时,无条件点亮红色的发光二极管。功能:控制发光二极管的亮、灭,以及输出倒计时数值给七段译码管的分位译码电路。

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY countroller IS PORT(Clock:IN STD_LOGIC;Hold:in std_logic;CountNum:in INTEGER RANGE 0 TO 89;NumA:out INTEGER RANGE 0 TO 90;RedA,GreenA,YellowA:out std_logic;RedB,GreenB,YellowB:out std_logic);END;ARCHITECTURE behavior OF Countroller IS BEGIN process(Clock)BEGIN IF falling_edge(Clock)THEN IF Hold='1' THEN RedA<='1';RedB<='1';GreenA<='0';GreenA<='0';YellowA<='0';YellowB<='0';

ELSIF CountNum<=54 THEN NumA<=55-CountNum;RedA<='0';GreenA<='1';YellowA<='0';ELSIF CountNum<=59 THEN NumA<=60;RedA<='0';GreenA<='0';YellowA<='1';ELSE NumA<=90-CountNum;RedA<='1';GreenA<='0';YellowA<='0';END IF;IF CountNum<=54 THEN RedB<='1';GreenB<='0';YellowB<='0';ELSIF CountNum<=84 THEN RedB<='0';GreenB<='1';YellowB<='0';ELSe RedB<='0';GreenB<='0';YellowB<='1';END IF;end if;END PROCESS;END;3.计数器的设计及仿真图

这里计数器的计数范围为0—90S,下一个时钟沿回复到0,开始下一轮计数.此外,当检测到特殊情况(Hold=‘1‘)发生时,计数器暂停计数。

程序如下: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY counter IS PORT(clock:IN STD_LOGIC;Hold:in std_logic;countNum:BuFFeR INTEGER RANGE 0 TO 90);

END;ARCHITECTURE behavior OF counter IS BEGIN Process(Clock)BEGIN IF rising_edge(Clock)THEN IF Hold='1' then countNum<=countNum;ELSE IF countNum=90 THEN countNum<=0;ELSE countNum<=countNum+1;END IF;END IF;END PROCESS;END;

4.分位模块的设计

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Fenwei IS PORT(Numin:IN integer RANGE 0 TO 90;NumA,NumB:OUT Integer RANGE 0 to 9);END;ARCHITECTURE behavior OF Fenwei IS BEGIN process(Numin)BEGIN IF Numin>=60 THEN NumA<=10;NumB<=10;elsIF Numin>=50 THEN NumA<=5;NumB<=Numin-50;elsIF Numin>=40 THEN NumA<=4;NumB<=Numin-40;ELSIF Numin>=30 THEN NumA<=3;NumB<=Numin-30;ELSIF Numin>=20 THEN NumA<=2;NumB<=Numin-20;ELSIF Numin>=10 THEN

NumA<=1;NumB<=Numin-10;ELSE NumA<=0;NumB<=Numin;END IF;END PROCESS;END;

5.数码管驱动设计 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY bcd_data IS PORT(bcd_data:in STD_LOGIC_VECTOR(3 downto 0);segout: out STD_LOGIC_VECTOR(6 downto 0));END;ARCHITECTURE behavior OF bcd_data IS BEGIN process(bcd_data)BEGIN case bcd_data is when “0000”=>segout<=“1111110”;when “0001”=>segout<=“0110000”;when “0010”=>segout<=“1101101”;when “0011” =>segout<=“1111001”;when “0100” =>segout<=“0110011”;when “0101”=>segout<=“1011011”;when “0110”=>segout<=“0011111”;when “0111”=>segout<=“1110000”;when “1000” =>segout<=“1111111”;when “1001” =>segout<=“1110011”;when “1010”=>segout<=“0000000”;when others =>null;END CASE;END PROCESS;END;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_unsigned.ALL;

ENTITY dtsm IS PORT(clk:in STD_LOGIC;NumA,NumB,NumC,NumD: in STD_LOGIC_VECTOR(3 downto 0);segout1:out STD_LOGIC_VECTOR(6 downto 0);led_sel: out STD_LOGIC_VECTOR(3 downto 0));END dtsm;architecture bhv of dtsm is component bcd_data is port(bcd_data:in STD_LOGIC_VECTOR(3 downto 0);segout:out STD_LOGIC_VECTOR(6 downto 0));end component;signal x:STD_LOGIC_VECTOR(3 downto 0);signal q:STD_LOGIC_VECTOR(1 downto 0);begin p1:process(clk)begin if clk'event and clk ='1' then Q<= Q + '1';end if;end process;

p2:process(Q)begin case Q is when“00”=>led_sel<=“1110”;x<=NumD;when“01”=>led_sel<=“1101”;x<=NumC;when“10”=>led_sel<=“1011”;x<=NumB;when“11”=>led_sel<=“0111”;x<=NumA;when others=>null;end case;end process;u1:bcd_data PORT map(bcd_data=>x,segout=>segout1);end;

6.顶层文件设置

library ieee;use ieee.std_logic_1164.all;entity jiaotongdeng is port(clk1:in std_logic;reset1:in std_logic;

hold1:in std_logic;segout2:out std_logic_vector(6 downto 0);led_sel1:out std_logic_vector(3 downto 0);reda1,yellowa1,greena1:out std_logic;redb1,yellowb1,greenb1:out std_logic);end jiaotongdeng;

architecture aa11 of jiaotongdeng is component FreDevider PORT(Clkin:IN Std_Logic;Clkout:OUT Std_Logic);END component;

component FreDevider1 PORT(Clkin:IN Std_Logic;Clkout:OUT Std_Logic);END component;component countroller PORT(Clock:IN STD_LOGIC;Hold:in std_logic;CountNum:in INTEGER RANGE 0 TO 89;NumA:out INTEGER RANGE 0 TO 90;RedA,GreenA,YellowA:out std_logic;RedB,GreenB,YellowB:out std_logic);END component;component counter PORT(clock:IN STD_LOGIC;reset:in std_logic;Hold:in std_logic;countNum:BuFFeR INTEGER RANGE 0 TO 90);END component;component Fenwei PORT(Numin:IN integer RANGE 0 TO 90;NumA,NumB:OUT Integer RANGE 0 to 9);END component;component dtsm PORT(clk:in STD_LOGIC;NumA,NumB: in Integer RANGE 0 to 9;segout1:out STD_LOGIC_VECTOR(6 downto 0);led_sel: out STD_LOGIC_VECTOR(3 downto 0));

END component;signal a,b:std_logic;signal c:INTEGER RANGE 0 TO 89;signal d:INTEGER RANGE 0 TO 90;signal e,f:Integer RANGE 0 to 9;begin u1: FreDevider port map(clkin=>clk1,clkout=>a);u2: FreDevider1 port map(clkin=>a,clkout=>b);u3:counter

port map(clock=>b,reset=>reset1,hold=>hold1,countnum=>c);u4:countroller port map(clock=>b,hold=>hold1,countnum=>c,numa=>d,reda=>reda1,greena=>greena1,yellowa=>yellowa1,redb=>redb1,greenb=>greenb1,yellowb=>yellowb1);u5:fenwei port map(numin=>d,numa=>e,numb=>f);u6:dtsm

port map(clk=>clk1,numa=>e,numb=>f,segout1=>segout2,led_sel=>led_sel1);end aa11;

四.硬件焊接与组装调试

按照如下图所示的电路图,在通用电路焊接板上合理布置各个元器件,进行焊接布线。

图3硬件焊接电路图

安装焊接完成后,仔细检查电路,是否有误。若与电路图不符,应及时排除错误。一切就绪后,将与FPGA对应的引脚使用杜邦线连接,连接完毕后,检查电源极性,避免反接。确认无误后,接通电源,观察实验结果。

首先我们完成的基本的功能,只是简单的倒计时显示,然后我们可以进一步修改我们的VHDL语言程序,重新烧入FPGA,以完善我们的设计。

五.心得体会

通过几天的课程设计,我对EDA技术、VHDL等系列知识都有了一定的了解。使用EDA技术开发页面的能力也有了很大提高。

EDA设计我们感觉程序调试最重要,试验软件、硬件熟悉其次。直到没有错误。若与理想的不同,再查看程序,有无原理上的编辑错误或没有查出的输入错误。都通过可以进行管脚配对,把程序烧入芯片,在实物上看结果,从显示中得出还需改正的地方,再去改程序。必须注意每改一次都要编译,重新烧入。我们采用模块化编程,模块化接线,再编译总原理图,思路比较清楚。有的模块可以供其它任务通用。

课程设计对学生而言是其对所学课程内容掌握情况的一次自我验证,从而有着极其重要的意义。通过课程设计能提高学生对所学知识的综合应用能力,能全面检查并掌握所学内容,在这学期的课程设计中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找资料,请教老师,以及不懈的努力,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。

另外,我还学到了一个人的力量毕竟有限,但是团队的力量势不可挡,我们不能局限,要学会合作。这次课程设计虽然结束了,相信以后我们会以更加积极的态度对待我们的学习、对待我们的生活。我们的激情永远不会结束,相反,我们会更加努力,努力的去弥补自己的缺点,发展自己的优点,去充实自己,只有在了解了自己的长短之后,我们会更加珍惜拥有的,更加努力的去完善它。

六.参考文献

(1)潘松,黄继业.《EDA

技术使用教程》.科学出版社.2006.(2)潘松,黄继业.《EDA技术与VHDL(

第二篇:数字电路课程设计报告—交通灯

数字电路课程设计

--交通灯控制器的设计

院系:

姓名:

指导教师:

完成日期:2011年6月7日

数字电路课程设计

--交通灯控制器的设计

一、课程设计目的

1.熟悉集成电路的引脚安排

2.掌握各芯片的逻辑功能及使用方法。

3.了解数字交通灯控制电路的组成及工作原理 4.学会用仿真软件对设计的原理图进行仿真。

二、设计要求及原理:

要求:设计一个主要街道和次要街道十字路口的交通灯控制器。主要街道绿灯亮6s,黄灯亮2s;次要街道绿灯亮3s,黄灯亮1 s。依次循环。当主要街道亮绿灯和黄灯时,次要街道亮红灯(8s),当次要街道亮绿灯和黄灯时,主要街道亮红灯(4 s)。用MG,MY,MR,CG,CY,CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。

原理:根据设计要求可知,各灯状态转换的周期为12s,因此可设计一个12进制的加计数器,来控制秒数,当计数值达到1011时,通过反馈置数法,将计数器清零,从而达到循环效果。列出每秒各灯亮的情况的真值表,通过真值表得到相应的逻辑图,便可实现对交通灯的控制。

三、设计步骤:

1、根据设计要求列出交通灯控制器的真值表如下:

交通灯控制器真值表:

QD QC QB QA MG MY MR CG 0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 1 1 0 0 0 0 1 1 0 0 1 0 0 0 1 1 1 0 1 0 0 1 0 0 0 0 0 1 1

CY

0 0 0 0 0 0 0 0 0 CR 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 0 0 0 1 1 1 1 0 1 1 0 0 1 1 1 0 1 0 1 0 1 0 0 0 X X X X 0 0 0 X X X X 1 1 1 X X X X 1 1 0 X X X X 0 0 1 X X X X 0 0 0 X X X X

2、从元器件库中拖出逻辑转换仪,根据交通灯控制器的真值表,获得MG的最简逻辑表达式。同理,求出MY,MR,CG,CY,CR的最简逻辑表达式如下:

MYB*C MRD

CGDBDA CYABD CRD MGDCDB

3、利用逻辑转换仪将MG,MY,MR,CG,CY,CR由最简式转换成下图所示逻辑图:

4、将MG,MY,MR,CG,CY,CR逻辑图进行元件封装,得到下图所示封装元件,并将各元件按下图方式连接。

(图一)

5、将连接好的电路再进行整体封装,得到下图2所示的元件:

(图二)

6、将74LS163和上面生成的元件进行下图所示连接,便得到了一个完整的交通灯控制电路。其中,~LOAD是置数端。CLK接一秒方波。逻辑分析仪时时分析交通灯输出状态。

四、仿真结果分析:

时钟频率设置为1Hz时,逻辑分析仪显示各点波形如下:

本次试验基本达到设计要求:与仿真校对时间相比,主要街道绿灯亮6s,黄灯亮2s,红灯4s;次要街道绿灯亮3s,黄灯亮1 s,红灯8s,依次循环。但是,实验中还存在一点瑕疵,当主要街道MG灯亮时,次要街道亮灯由CR转换到CG时CY灯有短暂的闪亮,分析其原因,应该是仿真过程中,电路的某一部分有延时,引起了计数器计数的瞬间非法脉冲,或者计数器本身计数跳变时存在中间态,而导致的。

五、参考文献:

①《电子技术基础》(模拟部分)康华光主编 华中理工大学电子学教研室

②《电子技术基础》(数字部分)康华光主编 华中理工大学电子学教研室

③《电子技术试验与课程设计》 毕满清主编 机械工业出版社

六、设计心得:

这次的课程设计可谓是历经波折,面对着多选的题目,不知道该选哪个好,起初试图性的做了抢答器的设计,但由于对涉及到的大多芯片功能及引脚作用不甚了解,对数电的知识也忘了很多,只进行了一小步就没办法再继续下去了,只好转而进行交通灯控制器的设计。在设计交通灯控制器的过程中,也碰到了很多问题,不断的查错,不断的修改甚至重新画电路图,不断的查阅相关资料,来解心中的疑惑,最终,完成设计任务。

通过本次课程设计,使我明白了做事应该要有毅力,要敢于面对各种难题。加强了我动手、思考和解决问题的能力,虽说过程有点艰辛,但让我不仅温习了数电知识,对书本上的知识有了更深入的理解,也从相关资料中额外的学到了很多东西。因此,这次的课设,总得来说,算是蛮成功的。

第三篇:交通灯控制电路设计数电课程设计+数字电路课程设计

目录

一、课程题目……………………………………………….2

二、设计要求……………………………………………….2

三、系统框图及说明………………………………………..2

四、单元电路设计…………………………………………..4

五、仿真过程与效果分析

………………………………….12

六、体会总结……………………………………………….13

七、参考文献………………………………………………13

《一》课程设计题目:

交通灯控制电路设计

《二》设计要求:

1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支 干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。

2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;

3、黄灯亮时,要求每秒闪亮一次。

4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。

5、同步设置人行横道红、绿灯指示。

《三》系统框图及说明:

1、分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图 1-1 所示。它主要由计时电路、主控电路、信号

灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是 555 定时器;计时计数器是

由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信

号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)

2、信号灯转换器

状态与车道运行状态如下:

S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行

S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行

S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道通行,人行道禁止通行

S3:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的黄灯亮,车道缓行, 人行道禁止通行

G1=1:主干道绿灯亮 Y1=1:主干道车道黄灯亮

R1=1:主干道车道红灯亮,人行道绿灯亮;南北方向人行道红灯亮

G2=1:支干道车道绿灯亮

Y2=1:支干道车道黄灯亮

R2=1:支干道车道红灯亮,人行道绿灯亮;东西方向人行道红灯亮

四.单元电路设计

1.主控电路:

1).原理:

通过一片 74LS160,选择其 4 个状态、分别为(00 01 10 11)分别表示主绿支红、主黄支红、主红支绿、主红支00->(30 秒)01->(5 秒)10->(20 秒)11(5 秒){循环图}。中间延时通过计时电路来实现。

2).原器件的选择及参数:

若选集成计数器74160,74160 是一个具有同步清零、同步置数、可保持状态不变的4 位二进制加法计数器。表1-1 是它的状态表。

表1-1 74160 的状态表

CLR

LOAD

ENP

ENT

CLK

A B D C

QA QB OC OD

0

X

X

X

X

X X X X

0

0

0

0

0

0

0

POS

X X X X

A

B

C

D

POS

X X X X

Count

X

X

X X X X

QA0 QB0 QC0 QD0

X

X

X X X X

QA0 QB0 QC0 QD0

设状态编码为:S0=0000

S1=0001

S2=0010

S3=0011,则其状态表为:

表1-2

状态编码与信号灯关系表

Qd Qc Qb Qa

G1

Y1

R1

G2

Y2

R2

0 0 0 0

0

0

0

0

0 0 0 1

0

0

0

0

0 0 1 0

0

0

0

0

0 0 1 1

0

0

0

0

态的相应控制来分别实现30 秒、5 秒、25 秒。通过7448(2 片)译码器和数码管的连接 的连接实现几个灯时间的显示。

2).原器件的选择及参数:

若选集成计数器74160(2片),采用同步整体置数。译码器7448(2片)、7段

数码管(2个)等。

表1-3 7447 状态表

Inputs

Outputs

No.LT

RBI

D C B A

BI/RBO | a b c d e f g

----|----|-----|-----------|--------|--------------

0 | 1 | 1 | 0 0 0 0 |

| 1 1 1 1 1 1 0

| 1 | X | 0 0 0 1 |

| 0 1 1 0 0 0 0

| 1 | X | 0 0 1 0 |

| 1 1 0 1 1 0 1

| 1 | X | 0 0 1 1 |

| 1 1 1 1 0 0 1

----|----|-----|-----------|--------|--------------

| 1 | X | 0 1 0 0 |

| 0 1 1 0 0 1 1

| 1 | X | 0 1 0 1 |

| 1 0 1 1 0 1 1

| 1 | X | 0 1 1 0 |

| 0 0 1 1 1 1 0

| 1 | X | 0 1 1 1 |

| 1 1 1 0 0 0 0

----|----|-----|-----------|--------|--------------

| 1 | X | 1 0 0 0 |

| 1 1 1 1 1 1 1

| 1 | X | 1 0 0 1 |

| 1 1 1 0 0 1 1

表 1-4 状态编码与时间关系表

开关(s)A

B

C

时间(T)

0

0

0

0

0

0

3)电路接法如下:

3.支干道计时电路

1)原理:

通过 74LS160(2 片)采用串行同步整体置数级连和下一个状态的相应控制来

分别实现30秒、5秒、25秒。通过7448(2片)译码器

和数码管的连接的连接实现几个灯时间的显示。

2).原器件的选择及参数:

若选集成计数器 74160(2 片),采用同步整体置数。译码器 7448(2 片)、7

段数码管(2个)等。基本上与主干道计时电路一样。

表 1-5 状态编码与时间关系表

开关(s)A

B

C

时间(T)

0

0

0

0

0

0

计数器选用集成电路74190 进行设计较简便。74190 是十进制同步可逆计数器,它

具有异步并行置数功能、保持功能。74190没有专用的清零输入端,但可以借助QA、QB、QC、QD 的输出数据间接实现清零功能。

表 1-4

74190 的状态表

CTEN D/U CLK LOAD

A B C D

QA QB QC QD

0

X

X

0

X X X X

A

B

C

D

0

POS

X X X X

Count Down

0

0

POS

X X X X

Count Up

X

X

X

X X X X

Qa0 Qb0 Qc0 Qd0

图1-5

现选用两个 74190 芯片级联成一个从 99 倒计到 00 的计数器,其中作为个位数的

74190 芯片的CLK 接秒脉冲发生器(频率 为 1),再把个位数 74190 芯片输出端的QA、QD 用一个与门连起来,再接在十位数 74190 芯片的CLK 端。当个位数减到0时,再减1

就会变成9,0(0000)和9(1001)之间的 QA、QD 同时由 0 变为1,把QA、QD 与

起来接在十位数的CLK 端,此时会给十位数 74190 芯片一个脉冲数字减1,相当于借位。具体连接方法如图 1-5所示。

信号 LD 由两个芯片的8 个输出端用或门连起来,决定倒计时是置数,还是计数

工作开始时,LD为0,计数器预置数,置完数后,LD 变为 1,计数器开始倒计时。当倒

计时减到数00 时,LD 又变为 0,计数器又预置数,之后又倒计时,如此循环下去。

图 1-6

预置数(即车的通行时间)功能:如图 1-6所示,8个开关分别接十位数 74190 芯

片的D、C、B、A 端和个位数 74190 芯片的D、C、B、A 端。预置数的范围为6~98。

假如把通行时间设为45 秒,就像图1-5的接法,A 接 0,B 接 1,C 接 0,D 接 0,E 接

0,F 接 1,G 接 0,H 接 1。(接电源相当于接 1,悬空相当于接 0)

图 1-7

向译码器提供模5 的定时信号T5 和模0 的定时信号T0,它表示倒计时减到数“00”

(也即绿灯的预置时间,因为到00时,计数器重新置数),T =1,此时T 给译码器一个脉

冲号灯发生转换,一个方向的绿灯亮,另一个方向的红灯亮。接法 为:把两个74190 计数

器的8 个输出端用一个或非门连起来。T 表示倒计时减到数“05”时。T =1,此时T 给译

码器一个脉冲,使信号灯发生转换,绿灯的变为黄灯,红灯的不变。接法为:当减到数为“05”

(0000 0101)时,把十位计数器的输出端QA.QB、QC、QD连同个位计数器的输出端QB、QD用一个或非门连起来,再把这个或非门与个位计数器的输出端QA、QC用一个与门连接

起来。具体连接方法如图1-7 所示。

4、黄灯闪烁控制

要求黄灯每秒闪一次,即黄灯0.5 秒亮,0.5 秒灭,故用一个频率为2 的脉冲与控制黄

灯的输出信号用一个与门连进来,再接黄灯。

图 1-8

《五》 仿真过程与效果分析

1、根据题目的要求,整个交通灯控制系统需要有4 个时间显示器,10 个交通灯。但由于 4 个时间显示器是由同一个倒计时计数器控制,所以我在设计图 1-8 电路的过程中,为了简化电路使画图看起来更加清晰,就只接了1 个时间显示器。

另外由于人行道的红绿灯跟车道的红绿灯是同步的,分别是:东西方向人行道的绿灯接车道的红灯,红灯接南北方向车道的红灯;南北方向人行道的绿灯接车道的红灯,红灯接车道的红灯。所以在图1-8 电路中就只接了6 个灯。

2、为了使电路更加直观,我把计数器、信号灯灯转换器等放在一个名为main 的子电路中。然后再在子电路外面接输入端和输出端。

3、点击启动按钮,然后再打开总开关,便可以进行交通灯控制系统的仿真,电路默认把通车时间设为45 秒,打开总开关,东西方向车道的绿灯亮,人行道的红灯亮;南北方向车道的红灯亮,人行道的绿灯亮。时间显示器从预置的 45 秒,以每秒减 1,减到数 5 时,东西方向车道的绿灯转换为黄灯,而且黄灯每秒闪一次,其余灯都不变。减到数 1 时,1 秒后显示器又转换成预置的45 秒,东西方向车道的黄灯转换为红灯,人行道的红灯转换为

绿灯;南北方向车道的红灯转换为绿灯,人行道的绿灯转换为红东西方向灯。如此循环下去。

4、修改通车时间为其它的值再进行仿真(时间范围为6~98 秒),效果同3 一样,总开关一打开,东西方向车道的绿灯亮,时间倒计数 5,车灯进行一次转换,到0 秒时又进行转换,而且时间重

置为预置的数值,如此循环。

《六》体会总结

1、通过这次课程设计,加强了我动手、思考和解决问题的能力。

在整个设计过程中,我总共想过两个方案,另一个方案弄了两天,结果总是实现不了题目的要求。所以我又花了一天的时间做出这个方案,这个相对另一个方案比较简单,包括电路原理和连接,和芯片上的选择。这个方案总共只用了四个芯片,分别为 2 个74190 计数器,2个 JK触发器。

2、在设计过程,经常会遇到这样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了。所以这几天不管是吃饭还是睡觉,脑子里总是想着如何解决这些问题,如何想出更好的连接方法。不过说也奇怪,整天想着这些问题,脑子和身体却一点都不会觉得累。或许是那种渴望得到知识的欲念把疲劳赶到九宵云外去了吧!

3、我沉得做课程设计同时也是对课本知识的巩固和加强,平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功时看课本,这次看了,下次就忘了,主要是因为没有动手实践过吧!认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。故一个小小的课程设计,对我们的作用是如此之大。《七》

参考文献 [1] 周常森,《电子电路计算机仿真技术》,山东科技出版社,2000 [2] 周正新,《电子设计自动话实践与训练》,中国民航出版社,1988 [3] 吴培明,《电子技术虚拟实验》,机械工业出版社,1999 [4] 钟问耀,《EWB电路设计入门与应用》,清华大学出版社,2000 [5] 康华光,《电子技术基础》,高等教育出版社,2000 [6] 刘润华,《现代电子系统设计》,石油大学出版社,1998 [7] 邱关源,《电路》,高等教育出版社,1999 [8] 陆 坤,《电子设计技术》,电子科技大学出版社,1997 [9] 姚福安,《电子电路设计与实践》,山东科学技术出版社,2002 [10] 何书森、何华斌,《实用数字电路与设计速成》,福建科学出版社,2000 [11] 童诗白、徐振英,《现代电子学及应用》,北京高等教育出版社,1994

第四篇:交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计

简易交通灯控制逻辑电路设计

专业班级:09自动化一班

时间:2011.12.12-2011.12.19

姓名:

指导教师: :郭计云

大同大学电气工程系

目录

一、课程题目……………………………………………….2

二、设计要求……………………………………………….2

三、系统框图及说明………………………………………..2

四、单元电路设计…………………………………………..4

五、仿真过程与效果分析

………………………………….12

六、体会总结……………………………………………….13

七、参考文献………………………………………………13

《一》课程设计题目:

交通灯控制电路设计

《二》设计要求:

1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支 干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。

2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;

3、黄灯亮时,要求每秒闪亮一次。

4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。

5、同步设置人行横道红、绿灯指示。

《三》系统框图及说明:

1、分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图 1-1 所示。它主要由计时电路、主控电路、信号

灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是 555 定时器;计时计数器是

由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信

号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)

2、信号灯转换器

状态与车道运行状态如下:

S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行

S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行

S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道通行,人行道禁止通行

S3:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的黄灯亮,车道缓行, 人行道禁止通行

G1=1:主干道绿灯亮 Y1=1:主干道车道黄灯亮

R1=1:主干道车道红灯亮,人行道绿灯亮;南北方向人行道红灯亮

G2=1:支干道车道绿灯亮

Y2=1:支干道车道黄灯亮

R2=1:支干道车道红灯亮,人行道绿灯亮;东西方向人行道红灯亮

四.单元电路设计

1.主控电路:

1).原理:

通过一片 74LS160,选择其 4 个状态、分别为(00 01 10 11)分别表示主绿支红、主黄支红、主红支绿、主红支00->(30 秒)01->(5 秒)10->(20 秒)11(5 秒){循环图}。中间延时通过计时电路来实现。

2).原器件的选择及参数:

若选集成计数器74160,74160 是一个具有同步清零、同步置数、可保持状态不变的4 位二进制加法计数器。表1-1 是它的状态表。

表1-1 74160 的状态表

CLR

LOAD

ENP

ENT

CLK

A B D C

QA QB OC OD

0

X

X

X

X

X X X X

0

0

0

0

0

0

0

POS

X X X X

A

B

C

D

POS

X X X X

Count

X

X

X X X X

QA0 QB0 QC0 QD0

X

X

X X X X

QA0 QB0 QC0 QD0

设状态编码为:S0=0000

S1=0001

S2=0010

S3=0011,则其状态表为:

表1-2

状态编码与信号灯关系表

Qd Qc Qb Qa

G1

Y1

R1

G2

Y2

R2

0 0 0 0

0

0

0

0

0 0 0 1

0

0

0

0

0 0 1 0

0

0

0

0

0 0 1 1

0

0

0

0

态的相应控制来分别实现30 秒、5 秒、25 秒。通过7448(2 片)译码器和数码管的连接 的连接实现几个灯时间的显示。

2).原器件的选择及参数:

若选集成计数器74160(2片),采用同步整体置数。译码器7448(2片)、7段

数码管(2个)等。

表1-3 7447 状态表

Inputs

Outputs

No.LT

RBI

D C B A

BI/RBO | a b c d e f g

----|----|-----|-----------|--------|--------------

0 | 1 | 1 | 0 0 0 0 |

| 1 1 1 1 1 1 0

| 1 | X | 0 0 0 1 |

| 0 1 1 0 0 0 0

| 1 | X | 0 0 1 0 |

| 1 1 0 1 1 0 1

| 1 | X | 0 0 1 1 |

| 1 1 1 1 0 0 1

----|----|-----|-----------|--------|--------------

| 1 | X | 0 1 0 0 |

| 0 1 1 0 0 1 1

| 1 | X | 0 1 0 1 |

| 1 0 1 1 0 1 1

| 1 | X | 0 1 1 0 |

| 0 0 1 1 1 1 0

| 1 | X | 0 1 1 1 |

| 1 1 1 0 0 0 0

----|----|-----|-----------|--------|--------------

| 1 | X | 1 0 0 0 |

| 1 1 1 1 1 1 1

| 1 | X | 1 0 0 1 |

| 1 1 1 0 0 1 1

表 1-4 状态编码与时间关系表

开关(s)A

B

C

时间(T)

0

0

0

0

0

0

3)电路接法如下:

3.支干道计时电路

1)原理:

通过 74LS160(2 片)采用串行同步整体置数级连和下一个状态的相应控制来

分别实现30秒、5秒、25秒。通过7448(2片)译码器

和数码管的连接的连接实现几个灯时间的显示。

2).原器件的选择及参数:

若选集成计数器 74160(2 片),采用同步整体置数。译码器 7448(2 片)、7

段数码管(2个)等。基本上与主干道计时电路一样。

表 1-5 状态编码与时间关系表

开关(s)A

B

C

时间(T)

0

0

0

0

0

0

计数器选用集成电路74190 进行设计较简便。74190 是十进制同步可逆计数器,它

具有异步并行置数功能、保持功能。74190没有专用的清零输入端,但可以借助QA、QB、QC、QD 的输出数据间接实现清零功能。

表 1-4

74190 的状态表

CTEN D/U CLK LOAD

A B C D

QA QB QC QD

0

X

X

0

X X X X

A

B

C

D

0

POS

X X X X

Count Down

0

0

POS

X X X X

Count Up

X

X

X

X X X X

Qa0 Qb0 Qc0 Qd0

图1-5

现选用两个 74190 芯片级联成一个从 99 倒计到 00 的计数器,其中作为个位数的

74190 芯片的CLK 接秒脉冲发生器(频率 为 1),再把个位数 74190 芯片输出端的QA、QD 用一个与门连起来,再接在十位数 74190 芯片的CLK 端。当个位数减到0时,再减1

就会变成9,0(0000)和9(1001)之间的 QA、QD 同时由 0 变为1,把QA、QD 与

起来接在十位数的CLK 端,此时会给十位数 74190 芯片一个脉冲数字减1,相当于借位。具体连接方法如图 1-5所示。

信号 LD 由两个芯片的8 个输出端用或门连起来,决定倒计时是置数,还是计数

工作开始时,LD为0,计数器预置数,置完数后,LD 变为 1,计数器开始倒计时。当倒

计时减到数00 时,LD 又变为 0,计数器又预置数,之后又倒计时,如此循环下去。

图 1-6

预置数(即车的通行时间)功能:如图 1-6所示,8个开关分别接十位数 74190 芯

片的D、C、B、A 端和个位数 74190 芯片的D、C、B、A 端。预置数的范围为6~98。

假如把通行时间设为45 秒,就像图1-5的接法,A 接 0,B 接 1,C 接 0,D 接 0,E 接

0,F 接 1,G 接 0,H 接 1。(接电源相当于接 1,悬空相当于接 0)

图 1-7

向译码器提供模5 的定时信号T5 和模0 的定时信号T0,它表示倒计时减到数“00”

(也即绿灯的预置时间,因为到00时,计数器重新置数),T =1,此时T 给译码器一个脉

冲号灯发生转换,一个方向的绿灯亮,另一个方向的红灯亮。接法 为:把两个74190 计数

器的8 个输出端用一个或非门连起来。T 表示倒计时减到数“05”时。T =1,此时T 给译

码器一个脉冲,使信号灯发生转换,绿灯的变为黄灯,红灯的不变。接法为:当减到数为“05”

(0000 0101)时,把十位计数器的输出端QA.QB、QC、QD连同个位计数器的输出端QB、QD用一个或非门连起来,再把这个或非门与个位计数器的输出端QA、QC用一个与门连接

起来。具体连接方法如图1-7 所示。

4、黄灯闪烁控制

要求黄灯每秒闪一次,即黄灯0.5 秒亮,0.5 秒灭,故用一个频率为2 的脉冲与控制黄

灯的输出信号用一个与门连进来,再接黄灯。

图 1-8

《五》 仿真过程与效果分析

1、根据题目的要求,整个交通灯控制系统需要有4 个时间显示器,10 个交通灯。但由于 4 个时间显示器是由同一个倒计时计数器控制,所以我在设计图 1-8 电路的过程中,为了简化电路使画图看起来更加清晰,就只接了1 个时间显示器。

另外由于人行道的红绿灯跟车道的红绿灯是同步的,分别是:东西方向人行道的绿灯接车道的红灯,红灯接南北方向车道的红灯;南北方向人行道的绿灯接车道的红灯,红灯接车道的红灯。所以在图1-8 电路中就只接了6 个灯。

2、为了使电路更加直观,我把计数器、信号灯灯转换器等放在一个名为main 的子电路中。然后再在子电路外面接输入端和输出端。

3、点击启动按钮,然后再打开总开关,便可以进行交通灯控制系统的仿真,电路默认把通车时间设为45 秒,打开总开关,东西方向车道的绿灯亮,人行道的红灯亮;南北方向车道的红灯亮,人行道的绿灯亮。时间显示器从预置的 45 秒,以每秒减 1,减到数 5 时,东西方向车道的绿灯转换为黄灯,而且黄灯每秒闪一次,其余灯都不变。减到数 1 时,1 秒后显示器又转换成预置的45 秒,东西方向车道的黄灯转换为红灯,人行道的红灯转换为

绿灯;南北方向车道的红灯转换为绿灯,人行道的绿灯转换为红东西方向灯。如此循环下去。

4、修改通车时间为其它的值再进行仿真(时间范围为6~98 秒),效果同3 一样,总开关一打开,东西方向车道的绿灯亮,时间倒计数 5,车灯进行一次转换,到0 秒时又进行转换,而且时间重

置为预置的数值,如此循环。

《六》体会总结

1、通过这次课程设计,加强了我动手、思考和解决问题的能力。

在整个设计过程中,我总共想过两个方案,另一个方案弄了两天,结果总是实现不了题目的要求。所以我又花了一天的时间做出这个方案,这个相对另一个方案比较简单,包括电路原理和连接,和芯片上的选择。这个方案总共只用了四个芯片,分别为 2 个74190 计数器,2个 JK触发器。

2、在设计过程,经常会遇到这样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了。所以这几天不管是吃饭还是睡觉,脑子里总是想着如何解决这些问题,如何想出更好的连接方法。不过说也奇怪,整天想着这些问题,脑子和身体却一点都不会觉得累。或许是那种渴望得到知识的欲念把疲劳赶到九宵云外去了吧!

3、我沉得做课程设计同时也是对课本知识的巩固和加强,平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功时看课本,这次看了,下次就忘了,主要是因为没有动手实践过吧!认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。故一个小小的课程设计,对我们的作用是如此之大。《七》

参考文献 [1] 周常森,《电子电路计算机仿真技术》,山东科技出版社,2000 [2] 周正新,《电子设计自动话实践与训练》,中国民航出版社,1988 [3] 吴培明,《电子技术虚拟实验》,机械工业出版社,1999 [4] 钟问耀,《EWB电路设计入门与应用》,清华大学出版社,2000 [5] 康华光,《电子技术基础》,高等教育出版社,2000 [6] 刘润华,《现代电子系统设计》,石油大学出版社,1998 [7] 邱关源,《电路》,高等教育出版社,1999 [8] 陆 坤,《电子设计技术》,电子科技大学出版社,1997 [9] 姚福安,《电子电路设计与实践》,山东科学技术出版社,2002 [10] 何书森、何华斌,《实用数字电路与设计速成》,福建科学出版社,2000 [11] 童诗白、徐振英,《现代电子学及应用》,北京高等教育出版社,1994

第五篇:数字电路课程设计

一、设计报告书的要求: 1.封面

2.课程设计任务书(题目,设计要求,技术指标等)

3.前言(发展现状、课程设计的意义、设计课题的作用等方面)。3.目录

4.课题设计(⑴ 写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。

⑵ 画出框图中的各部分电路,对各部分电路的工作原理应作出说明。⑶ 画出整个设计电路的原理电路图,并简要地说明电路的工作原理。⑷ 用protel画原理电路图。

(5)用Multisim或者Proteus画仿真图。

5.总图。

6.课题小结(设计的心得和调试的结果)。7.参考文献。

二、评分依据:

①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原理说明是否基本正确,⑤报告是否清晰,⑥答辩过程中回答问题是否基本正确。

三、题目选择:(三人一组,自由组合)(设计要求,技术指标自己选择)

1、基于DC4011水箱水位自动控制器的设计与实现

水箱水位自动控制器,电路采用CD4011四与非门作为处理芯片。要求能够实现如下功能:水箱中的水位低于预定的水位时,自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水,始终保持水箱中有一定的水,既不会干,也不会溢,非常的实用而且方便。

2、基于CD4011声控、光控延时开关的设计与实现

要求电路以CD4011作为中心元件,结合外围电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态,当有人经过该开关附近时,脚步声、说话声、拍手声等都能开启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭,灯灭。

3、基于CD4011红外感应开关的设计与实现

在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。

4、基于CD4011红外线对射报警器的设计与实现

设计一款利用红外线进行布防的防盗报警系统,利用多谐振荡器作为红外线发射器的驱动电路,驱动红外发射管,向布防区内发射红外线,接收端利用专用的红外线接收器件对发射的红外线信号进行接收,经放大电路进行信号放大及整形,以CD4011作为逻辑处理器,控制报警电路及复位电路,电路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。

5、基于CD4069无线音乐门铃的设计与实现

音乐门铃已为人们所熟知,在一些住宅楼中都装有音乐门铃,当有客人来访时,只要按下门铃按钮,就会发出“叮咚”的声音或是播放一首乐曲,然而在一些已装修好的室内,若是装上有线门铃,由于必须布线,从而破坏装修,让人感到非常麻烦。采用CD4069设计一款无线音乐门铃,发射按键与接收机间采用了无线方式传输信息。

6、基于时基电路555“叮咚”门铃的设计与实现

用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够发出音色比较动听的“叮咚”声。

7、基于CD4511数显八路抢答器的设计与实现

CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编码,优先,锁存,数显和复位。

8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通过调节电位器旋钮,可调整彩灯的流动速度。

9、水位指示的设计与实现

电路的功能是检测容器内的水位。把探头分别装在容器的底部、中部和顶部。通过3根导线与电路板连接,而3个LED分别代表不同的水位。

10、基于数字电路双向炫彩流水灯的设计与实现

电路由无稳态多谢振荡器、可逆计数器、三八线译码器和发光二极管组成;实现流水灯正反向循环旋转。

11、基于数字电路六位数字钟的设计与实现

设计一款纯数字电路打造的6位数字时钟。数字钟是采用数字电路对“时”、“分”、“秒”数字显示的计时装置。

12、八路声光报警器的设计与实现

八路声光报警器中八位优先编码器CD4532将输入D0~D7的八路开关量译成三位BCD码,经BCD锁存/七段译码/驱动器CD4511译码,驱动共阴极数码管显示警报电路0—7,路输入开关中的任一路开路,显示器即显示该路号,发出数码光报警;同时优先编码器CD4532的GS段输出高电平,使开关三极管饱和导通,启动声报警电路工作。声报警电路由时基集成电路NE555和六反相器CD4069组成。

13、基于CD4060梦幻灯的设计与实现(基于proteus仿真)

设计一款电路,使其具有多种美丽的声光效果,三种颜色的LED随机组合,五彩斑斓,配有生日快乐音乐芯片,闪光的同时有生日快乐音乐播放,蜂鸣器发生,音乐芯片直接可以装到电路板上,备有电源开关,方便控制,可以外接交流电源或电池。可作生日礼物相送。

14、变音警笛电路的设计与实现 设计一款电路,该电路采用两片NE555时基集成电路构成的变音警笛电路,能发出“呜-哇-呜-哇”的警笛声。

15、魔幻LED摇摇棒的设计与制作

“摇摇棒”是一种利用我们的“视觉暂留效应”工作的高科技电子玩具。接通电源后,它上面的一列LED(发光二极管)不停地闪烁,当你摇动它时,会看见空中梦幻般的浮现一个个笑脸、爱心等图案或者文字。“摇摇棒”使用了一块单片机。通过编写程序,在它内部存储若干幅图形和文字,用一只轻触按钮来选择要显示的内容,并且使用一只动作传感器开关来确保显示正常。

16、基于数字电路NE555、CD4017LED骰子的设计与实现

由555组成的多谐振荡器和CD4017十进制计数器/脉冲分配器构成。7个发光二极管模拟骰子的点数,当按下按钮1秒以上,骰子上的发光二极管高速循环点亮,之后循环速度越来越慢并最终随机停止于某个点上。

17、数显计数器的设计与实现

不需要编程的计数器模块,有3个数码管显示,使用14553和14511芯片进行控制驱动。

18、基于555简易催眠器的设计与制作

时基电路555构成一个极低频振荡器,输出一个个短的脉冲,使扬声器发出类似雨滴的声音

19、基于数字电路电动机转速表的设计与实现

在电动机转动时,人眼无法统计电动机单位时间转转的圈数,即使电动机每秒钟只转动几圈,我们也无法准确的数数来得到电动机每分钟的转动圈数。设计一款电动机转速表来计数,最大可以显示999,如果需要显示更大的数字,还可以自行增加CD40110和数码管,每增加一级,计数可增大10倍再加上9。

20、基于CD4011路灯开关模拟电路的设计与实现

从节约用电的角度出发,路灯开关在每天傍晚时全部灯亮,后半夜行人稀少,路灯关掉一半,第二天清早路灯全部关闭。

21、数字秒表的设计与实现

单稳态触发器,时针发生器及计时器,译码显示单元电路的应用

22、基于CD4011声光控带灯头开关的设计与实现

开关选用CD4011集成块为延时电路,选用1A单向可控硅以及性能稳定的光敏电阻和优质的驻极体组成的声光控动作电路

23、基于数字电路两位计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.24、数字频率计的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

25、基于数字电路自动温控报警电路的设计与实现

现实生活中,常常需要进行温度控制。当温度超出某一规定的上限值时,需要立即切断电源并报警。待恢复正常后设备继续运行。设计一款温度控制电路,电路采用LM324作比较器,NE555作振荡器,十进制计数/译码器CD4017以及锁存/译码/驱动电路CD4511作译码显示达到上述要求。

26、基于数字电路两位自动计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.数字上升速度快慢由NE555振荡频率决定.S1为计数清零按键.NE555构成时钟信号发生器,CD4518为二/十进制加法计数器,CD4511为译码驱动器,调节R17可调节NE555的振荡频率.C1为充放电电容,电容容量愈大,充电时间愈长,则振荡频率愈低。

27、基于数字电路数字显示频率计电路的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

28、基于CD4017流水灯的设计与实现

CD4017流水灯由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

29、基于CD4017六路回闪灯的设计与实现 电路通电后,六个发光管先依次点亮,再全部熄灭,然后反方向依次点亮,完成一个循环,接着进行下一个循环。电路由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

30、基于CD4017摩托车闪灯的设计与实现

电路由多谐振荡电路和CD4017构成,实现三组发光管循环显示。

31、基于CD401712路回闪灯的设计与实现

电路由555组成的多谐振荡器和CD4017十进制计数/译码电路构成。

32、基于CD4518/4511数字钟的设计与实现

下载数字电路课程设计——用VHDL语言设计交通灯(五篇)word格式文档
下载数字电路课程设计——用VHDL语言设计交通灯(五篇).doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    校验码设计-数字电路课程设计报告

    Firesuiry XXXXXXXXXXXXX 数电设计报告校验码设计 课程设计报告 数字电路设计 设计项目:校验码设计 姓名:Firesuiry 学号:XXXXXXXXXXXXX 指导老师:谢小东 设计日期:2017年6月......

    PLC交通灯课程设计

    PLC的定义及工作原理 ⒈定义:可编程控制器,简称PLC(Programmable logic Controller),是指以计算机技术为基础的新型工业控制装置。“PLC是一种专门为在工业环境下应用而设计的数......

    交通灯单片机课程设计

    《单片机原理与接口技术课程设计报告》 课题:以交通灯为主的多功能任务设计 班级 学号 学生姓名指导教师 淮阴工学院 电子与电气工程学院 2015-6 1 目录 一、 课程设计目......

    数字电路课程设计 数字钟

    摘 要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、......

    数字电路课程设计(5篇)

    数字电路课程设计要求: 1. 结合所学知识设计一简单实用电路(建议选多功能数字钟),并在实验室里完成实物电路的连接调试。 2. 每人独立完成一篇课程论文,论文至少2000字,可手写,也可......

    数字电路课程设计题目

    数字电路课程设计题目 题目1 数字式频率计 任务: 设计一个数字式频率计。 基本要求: 1.被测信号为TTL脉冲信号。 2.显示的频率范围为0—99Hz。 3.测量精度为±1Hz。 4.用LED数码管......

    数字电路课程设计(推荐五篇)

    分类号 UDC 单位代码10644 密 级 公 开 学 号课程设计 (题目) 课程名称:数字电子技术基础 作者:指导教师:易鸿系别:物理与工程技术系 专业:电子科学与技术 提交论文日期:年 月日论文......

    数字电路课程设计(红绿灯)

    数字电路课程设计(一) ——红绿灯设计方案总结报告 指导教师: 设计人员:班级:电信081 日期:2010.4.13 一、 设计任务书 1、题目:红绿灯控制器 2、设计要求:设计一个红绿灯控制器......