第一篇:北邮信通院移动通信实验报告
北京邮电大学 移动通信实验报告
班级:
2010211126
专业:
信息工程
姓名:
学号:
班内序号:
一、实验目的
1、移动通信设备的认知 a)了解机柜结构
b)了解移动通信设备组成和机框结构 c)了解移动通信设备各单元的功能及连接方式
2、网管操作和 OMT 创建小区 a)了解OMC系统的基本功能和操作 b)掌握OMT如何创建小区
3、移动通信业务的建立与信令流程 a)了解TD-SCDMA系统的网络结构 b)掌握基本业务测试环境的搭建
c)掌握CS业务与普通PS业务信令流程,体验视频通话
二、实验设备
TD‐SCDMA 移动通信设备一套
三、实验内容
1、TD_SCDMA系统认识
听了老师的讲授后,我了解到了TD_SCDMA系统是时分双工的同步CDMA系统,知道了TD_SCDMA系统网络结构中的三个重要接口(Iu接口、Iub接口、Uu接口),认识了TD_SCDMA系统的物理层结构,熟悉了TD_SCDMA系统的六大关键技术以及其后续演进LTE。
2、CN开卡
开卡过程如下图所示:
3、硬件认知
1)整套移动通信设备如下:
2)RNC设备认知
TDR3000设备机框外形结构如图1和图2所示
机框主要功能如下:
支持 14 个板位,作为19〞机框通用背板使用。
满足 PICMG3.0、PICMG3.1 规范。
实现机框内以太交换双星型物理连接拓扑。
对各前插板提供板位编号(HA0~7)。
对各前插板提供 Fabric、Base、CLK、Update 数据通路。
提供对所有 FRU 单元的IPMB 总线通路。
提供‐48V 冗余供电通路。
ATCA 机框的UPDATE CHANNEL 设计规则为物理板位1 与13、2 与14、3 与11、4 与12、5 与 9、6 与10、7 与8 两两之间设计UPDATE CHANNEL。
图 1:机框背板功能分布示意图
由上图可知,ATCA 机框的UPDATE CHANNEL 设计规则为物理板位1 与13、2 与14、3 与11、4 与12、5 与9、6 与10、7 与8 两两之间设计UPDATE CHANNEL。其中蓝色连线表示具有Update Channel 连线的板位分配,物理板位7,8 固定为两块交换板,其余板位固定为功能板。
图 2:机框背板接口后视图
机框物理上是一种13U 标准的ATCA 插箱,机框背板主体尺寸为ATCA 标准定义部分: 354.8mmX426.72mm。主体之下为背板的风扇、电源接口引入部分,风扇接口包括风扇电源和IPMI 接口,背板与电源模块之间的电源接口包括两路-48V 供电和四路风扇电源输入。背板与各前插
板之间的电源接口采用分散供电方式,每个前插板有两路‐48V 供电。背板下部左右两部分中间位
置各预留1 英寸安装输入电源插座(‐48V/风扇电源)。
单板结构
单板相关描述中,采用“逻辑板(物理板)”的描述方式,其中逻辑板为从软件功能及操作维护台显示的单板;物理板为硬件单板,其单板名称印刷在在物理单板面板下方。采用该表达方式的目的,是便于使用者能随时直观地了解逻辑板与物理板的映射关系,避免不熟悉两种单板类型映射关系的用户频繁地查找单板对应关系表。TDR3000 各种单板的类型及功能如下
机框槽位布局如下:
可以使用LDT软件查看硬件是否正常,由下图可以看出,硬件连接均正常。
其中使用的各单板功能如下:
GCPA(GMPA+SPMC+HDD)全局控制处理板完成以下功能:
全局处理板完成 RNC 全局资源的控制与处理、以及与OMC‐R 的连接。全局控制板 支持板载2.5〞 IDE 80GB 硬盘数据存储功能;
处理以下协议:RANAP 协议中的复位,资源复位,过载控制消息;SCCP 管理、MTP3B 管理、ALCAP 管理、M3UA 管理协议等; 两块 GCPA 以主备用方式工作; RSPA(GMPA+SPMC)无线网络信令处理板完成以下功能:
处理 Iu,Iub 接口的控制面协议以及传输网络高层协议,完成无线网络协议的处理,以及呼叫处理功能;
处理的协议有:RRC 协议,RANAP 部分协议,NBAP 协议,无线资源管理;SCCP 部 分协议,ALCAP 部分协议,MTP3B 部分协议,M3UA 部分协议,SCTP 协议等; 两块 RSPA 以主备用方式工作;
ONCA/IPUA(MNPA+GEIC)板的主要功能如下:
ONCA/IPUA(MNPA+GEIC)配合GEIB 后插板完成4xFE/GE 接口功能。 网络处理器完成外部 IP 到内部IP 的转换、处理功能; TCSA(MASA)板的主要功能如下:
支持控制面 Base 交换和业务面Fabric 交换两级交换,完成业务和控制面的L2、L3 以太交换功能;
固定使用 2 个交换板槽位,即框中的第7、8 槽位;
同时完成整个机框的 ShMC(机框管理器)功能,同时兼容IPMC 功能,可根据不同 ATCA 机框进行灵活配置;
提供架框号的编码配置功能;
支持对网同步时钟的接入、分配功能; 以主备用方式工作; RTPA(MDPA)板由单板控制模块、单板以太交换模块、DSP 处理模块、电源模块、IPMC 模块组成,主要功能如下:
单板控制模块完成板内的各种控制管理功能;
单板以太交换模块实现完成 RTPA(MDPA)板内的以太数据交换;
DSP 处理模块主要由DSP 和其外围来实现,完成业务数据和协议的处理;
电源转换模块从背板接入双路‐48V 电源,经过电源转换芯片转换后,给单板提供各 种芯片正常工作的各种电压;
IPMC 模块主要完成单板上电的控制,以及温度、电压监控等功能。 PTPA(MNPA)板的主要功能如下: 完成 Iu‐PS 用户面协议处理功能;
GTPU 处理板,完成IP(OA)、UDP、TCP、GTP‐U 协议模块处理; Host 部分完成网络处理器运行状态监视、性能统计等功能。
3)Node B设备
EMB5116 基站主要分为如下几个主要组成部分:主机箱、电源单元、EMx 板卡、风机及滤网单元、功能板卡
硬件单元排布如图3所示。
图 3:1EMB5116 槽位框图
4、LMT-B 使用LMT-B软件进行网络布配,完成光纤与RRU的配置 1)单天线模式配置 配置参数见下图:
图表 4: 单天线模式配置详细参数
图表 5:单天线模式配置结果
2)分布式单天线模式配置: 配置参数见下图:
图表 6:分布式天线模式配置详细参数
图表 7:分布式天线配置结果
3)智能天线模式配置参数如下:将天线模式改为智能天线,并需要在连接天线处添加天线,其它参数与单天线相同。添加的天线信息如下:
图表 8:所添加天线信息
图表 9:智能天线模式配置详细参数
图表 10:智能天线配置结果
5、LDT信令跟踪
图 11:设备监视图
图表 12:信令跟踪结果
6、网管操作和OMT创建小区
实验步骤: 增加一个 R4 小区
选择逻辑基站—小区集—右键选择快速创建小区
第一步:
小区基本信息: 小区标识(CellId):
同一个RNC 中的CellId 配置值要求不能重复; 小区参数标识(CellParameterId):
小区参数标识ID 唯一标识了小区中的一组参数:下行同步序列SYNC‐DL、上行同步
序列SYNC‐UL sequences、扰码、midamble 码;
小区特性:
主频段时隙转换点:3(说明小区时隙为2 上4 下,一般为2 上4 下); 其他频段时隙转换点:可以与主频段不一致;
HSDPA 特性:
非HSDPA 小区(可根据需要选择:HSDPA 小区或混合DPA 小区,这里我们选择非HSDPA 小
区是因为我们要创建一个R4 小区); HSUPA 特性:
不支持HSUPA 小区(可根据需要选择支持HSUPA 小区,这里我们选择不支持HSUPA 小区
是因为我们要创建一个R4 小区);
位置区信息:
位置区代码:由RNC 全局参数决定(实验室环境与RNC 一致:比如RNC2,那么就是2);
路由区代码:由RNC 全局参数决定(实验室环境与RNC 一致:比如RNC2,那么就是2);
服务区代码:实验室环境为107; UPA 有效数:1(固定);
其他信息默认,然后选择下一步
第二步:
根据需要选择辅载波的数量;
主载波上行时隙至少要选择一个PRACH; 然后选择下一步;
第三步:
信道功率信息和UpPCH 信道功率信息选择默认即可,这些数值在创建完小区之后,根据需要 是可以修改的;
选择完成,一个R4 小区创建完毕。
四、实验总结
此次实验不仅让我更加深入的了解了TD_SCDMA系统,还认识了许多和移动通信有关的设备,体验了视频通话和手机电视等先进的移动通信技术,极大的增强了我对移动通信的兴趣。
第二篇:北邮移动通信阶段作业
一、单项选择题
1.为了提高容量,增强抗干扰能力,在GSM系统中引入的扩频技术为A.跳频B.跳时C.直接序列D.脉冲线性调频 2.GSM系统采用的多址方式为()
A.FDMAB.CDMA C.TDMA D.FDMA/TDMA
3.电波在自由空间传播时,其衰耗为100 dB,当通信距离增大一倍时,则传输衰耗为。
A.增加6 dB B.减小6dB C.增加12 dB D.不变 4.如果载波频率是1800MHz,那么波长为()米。A.1 B.10 C.1/6D.3/85.TDD表示的含义()
A.time division multiple access B.time division duplex C.transfer control protocol D.time division synchronous CDMA
6.下面哪个是数字移动通信网的优点()
A.频率利用率低B.不能与ISDN兼容C.抗干扰能力强D.话音质量差
7.在单位无线小区覆盖半径相同的条件下,覆盖同样面积的面状服务区时,用()无线邻接构成整个面状服务区是最好的。A.正方形B.圆形C.正六边形D.等边三角形
8.如果小区半径r=15km,同频复用距离D=60km,用面状服务区组网时,可用的单位无线区群的小区最少个数为。()A.7B.5C.4D.3
9.蜂窝移动通信系统采用()工作方式 A.单工B双工C半双工 10.爱尔兰是什么的单位? A.数据量B.话务量C.时延D.呼损率 1GSM系统选用的调制方式为()A.QAMB.GMSKC.DQPSK D.QPSK
2.如下哪一个特征量不是用来描述信道衰落特性()A.衰落率B.时延扩展C.电平交叉率D.平均衰落周期
3.已知接收机灵敏度为0.5μv,这时接收机的输入电压电平A为()A.-3dBμv B.-6dBμvC.0dBμvD.3dBμv
4.在移动通信系统中,运动中的移动台所接收的载频将随运动速度而变化,产生不同频率这是()。
A.远近效应B.快衰落C.慢衰落D.多普勒效应
5.某移动台的发射功率为0dBm,表示该移动台的发射功率为()W。A.1 B.0 C.0.001D.0.1
6.通常移动通信系统采用的语音编码方式为 A.参量编码B.波形编码C.混合编码 7.GSM的用户记费信息()
A.在BSC内记录B.在BSC、MSC及记费中心中记录C.MSC中记录D.以上都不是 8.CDMA软切换的特性之一是()
A.先断原来的业务信道,再建立信道业务信道B.在切换区域MS与两个BTS连接 C.在两个时隙间进行的D.以上都不是 9.以下那种不是附加业务()
A.无条件前向转移B.多方通话C.闭锁出国际局呼叫D.以上都是 10.CDMA系统采用的多址方式为()A.CDMAB.TDMA C.FDMA
一、判断题
1.沃尔什码就其正交性而言为正交码。A.正确B.错误
2.CDMA软切换的特性之一是先断原来的业务信道,再建立信道业务信道 A.正确B.错误
3.采用频分双工的模式,上行链路和下行链路分别采用了不同的频段。A.正确B.错误
4.CDMA软切换的特性之一是在切换区域MS与两个或两个以上BTS连接 A.正确B.错误
5.不同频率之间的切换只能采用硬切换,A.正确
B.错误
6.空间分集的依据在于快衰落的相关性.即在任意两个不同的位置上接收同一个信号.只要两个位置的距离大到一定程度,则两处所收信号的衰落是相关的。A.正确
B.错误
7.可以利用交织编码来纠正突发错误。
A.正确B.错误 8.信道编码是以提高信息传输效率为目的的编码。
A.正确
B.错误
9.划分服务区域要根据服务对象、地形以及不产生相互干扰等因素决定。通常小区制有两种划分方法,带状服务区和面状服务区。A.正确
B.错误
10.数字调制指利用数字信号来控制载波的振幅、频率或相位。常用的数字调制有:
调幅(AM)、调频(FM)或调相(PM)信号。A.正确B.错误 1.调制的目的是发端把要传输的模拟信号或数字信号变换成适合信道传输的信号。A.正确
B.错误
2.正交振幅调制是二进制的PSK、四进制的QPSK调制的进一步推广,通过相位和振幅的联合控制,可以得到更高频谱效率的调制方式,从而可在限定的频带内传输更高速率的数据 A.正确
B.错误
3.共用信道数n=5,流入话务量A=1.360爱尔兰,查表得呼损率B=1%。那么完成的话务量A 0 =1.36×99%爱尔兰。A.正确B.错误 4.双工和半双工工作方式没有严格区别。
A.正确
B.错误
5.第二代移动通信系统也简称2G,又被国际电联(International Telecommunication Union,ITU)称为IMT-2000(International Mobile Telecommunications in the year 2000),意指在2000年左右开始商用并工作在2000MHz频段上的国际移动通信系统。A.正确B.错误 6.语音通信对时延敏感,要求时延小;而数据通信对时延不敏感。A.正确B.错误 7.移动通信是指通信双方至少有一方在移动中进行信息传输和交换
A.正确
B.错误
8.在单位无线小区覆盖半径r相同的条件下,覆盖同样面积的面状服务区时,用任意形状无线小区邻接构成整个面状服务区都是最好的。A.正确
B.错误
9.为减小同频干扰,需要同频小区在频率上隔开一个最小的距离,为传播提供充分的隔离。A.正确B.错误 10.邻道干扰是相邻的或邻近频道的信号相互干扰。
A.正确
B.错误
第三篇:北邮电子院专业实验报告
电子工程学院
ASIC专业实验报告
班级: 姓名:
学号: 班内序号:
第一部分 语言级仿真
LAB 1:简单的组合逻辑设计一、二、实验目的 实验原理 掌握基本组合逻辑电路的实现方法。
本实验中描述的是一个可综合的二选一开关,它的功能是当sel = 0时,给出out = a,否则给出结果out = b。在Verilog HDL中,描述组合逻辑时常使用assign结构。equal=(a==b)?1:0是一种在组合逻辑实现分支判断时常用的格式。parameter定义的size参数决定位宽。测试模块用于检测模块设计的是否正确,它给出模块的输入信号,观察模块的内部信号和输出信号。
三、源代码
mux.v module scale_mux(out,sel,b,a);parameter size=1;output[size-1:0] out;input[size-1:0]b,a;input sel;assign out =(!sel)?a:
(sel)?b:
{size{1'bx}};endmodule
mux_test.v `define width 8 `timescale 1 ns/1 ns module mux_test;
reg[`width:1]a,b;
wire[`width:1]out;
reg sel;
scale_mux#(`width)m1(.out(out),.sel(sel),.b(b),.a(a));
initial
begin
$monitor($stime,“sel=%b a=%b b=%b out=%b”,sel,a,b,out);
$dumpvars(2,mux_test);
sel=0;b={`width{1'b0}};a={`width{1'b1}};
#5sel=0;b={`width{1'b1}};a={`width{1'b0}};
#5sel=1;b={`width{1'b0}};a={`width{1'b1}};
#5sel=1;b={`width{1'b1}};a={`width{1'b0}};
#5 $finish;
end endmodule
四、仿真结果与波形
LAB 2:简单时序逻辑电路的设计一、二、实验目的 实验原理 掌握基本时序逻辑电路的实现。
在Verilog HDL中,相对于组合逻辑电路,时序逻辑电路也有规定的表述方式。在可综合的Verilog HDL模型中,我们常使用always块和@(posedge clk)或@(negedge clk)的结构来表述时序逻辑。
在always块中,被赋值的信号都必须定义为reg型,这是由时序逻辑电路的特点所决定的对于reg型数据,如果未对它进行赋值,仿真工具会认为它是不定态。为了正确地观察到仿真结果,在可综合的模块中我们通常定义一个复位信号rst-,当它为低电平时对电路中的寄存器进行复位。
三、源代码
counter.v `timescale 1 ns/100 ps module counter(cnt,clk,data,rst_,load);output[4:0]cnt;input [4:0]data;input
clk;input
rst_;input
load;reg
[4:0]cnt;
always@(posedge clk or negedge rst_)
if(!rst_)
#1.2 cnt<=0;
else
if(load)
cnt<=#3 data;
else
cnt<=#4 cnt + 1;
endmodule
counter_test.v `timescale 1 ns/1 ns module counter_test;
wire[4:0]cnt;
reg [4:0]data;
reg
rst_;
reg
load;
reg
clk;
counter c1
(.cnt(cnt),.clk(clk),.data(data),.rst_(rst_),.load(load));
initial begin
clk=0;
forever begin
#10 clk=1'b1;
#10 clk=1'b0;
end
end
initial begin
$timeformat(-9,1,“ns”,9);
$monitor(“time=%t,data=%h,clk=%b,rst_=%b,load=%b,cnt=%b”,$stime,data,clk,rst_,load,cnt);
$dumpvars(2,counter_test);
end task expect;input [4:0]expects;
if(cnt!==expects)begin
$display(“At time %t cnt is %b and should be %b”,$time,cnt,expects);
$display(“TEST FAILED”);
$finish;
end endtask initial begin
@(negedge clk)
{rst_,load,data}=7'b0_X_XXXXX;@(negedge clk)expect(5'h00);
{rst_,load,data}=7'b1_1_11101;@(negedge clk)expect(5'h1D);
{rst_,load,data}=7'b1_0_11101;
repeat(5)@(negedge clk);
expect(5'h02);
{rst_,load,data}=7'b1_1_11111;@(negedge clk)expect(5'h1F);
{rst_,load,data}=7'b0_X_XXXXX;@(negedge clk)expect(5'h00);
$display(“TEST PASSED”);
$finish;
end endmodule
四、仿真结果与波形
五、思考题
该电路中,rst-是同步还是异步清零端?
在counter.v的always块中reset没有等时钟,而是直接清零。所以是异步清零端。
LAB 3:简单时序逻辑电路的设计一、二、实验目的 实验原理 使用预定义的库元件来设计八位寄存器。
八位寄存器中,每一位寄存器由一个二选一MUX和一个触发器dffr组成,当load=1,装载数据;当load=0,寄存器保持。对于处理重复的电路,可用数组条用的方式,使电路描述清晰、简洁。
三、源代码
clock.v `timescale 1 ns /1 ns module clock(clk);reg clk;output clk;initial begin clk=0;forever begin #10 clk=1'b1;#10 clk=1'b0;end end endmodule
mux及dffr模块调用代码
mux mux7(.out(n1[7]),.sel(load),.b(data[7]),.a(out[7]));dffr dffr7(.q(out[7]),.d(n1[7]),.clk(clk),.rst_(rst_));mux mux6(.out(n1[6]),.sel(load),.b(data[6]),.a(out[6]));dffr dffr6(.q(out[6]),.d(n1[6]),.clk(clk),.rst_(rst_));mux mux5(.out(n1[5]),.sel(load),.b(data[5]),.a(out[5]));dffr dffr5(.q(out[5]),.d(n1[5]),.clk(clk),.rst_(rst_));mux mux4(.out(n1[4]),.sel(load),.b(data[4]),.a(out[4]));dffr dffr4(.q(out[4]),.d(n1[4]),.clk(clk),.rst_(rst_));
mux mux3(.out(n1[3]),.sel(load),.b(data[3]),.a(out[3]));dffr dffr3(.q(out[3]),.d(n1[3]),.clk(clk),.rst_(rst_));mux mux2(.out(n1[2]),.sel(load),.b(data[2]),.a(out[2]));dffr dffr2(.q(out[2]),.d(n1[2]),.clk(clk),.rst_(rst_));mux mux1(.out(n1[1]),.sel(load),.b(data[1]),.a(out[1]));dffr dffr1(.q(out[1]),.d(n1[1]),.clk(clk),.rst_(rst_));mux mux0(.out(n1[0]),.sel(load),.b(data[0]),.a(out[0]));dffr dffr0(.q(out[0]),.d(n1[0]),.clk(clk),.rst_(rst_));
例化寄存器
register r1(.data(data),.out(out),.load(load),.clk(clk),.rst_(rst_));例化时钟
clock c1(.clk(clk));
添加检测信号 initial begin $timeformat(-9,1,“ns”,9);$monitor(“time=%t,clk=%b,data=%h,load=%b,out=%h”, $stime,clk,data,load,out);$dumpvars(2,register_test);end
四、仿真结果与波形
LAB 4:用always块实现较复杂的组合逻辑电路
一、实验目的
掌握用always实现组合逻辑电路的方法;
了解assign与always两种组合逻辑电路实现方法之间的区别。
二、实验原理
仅使用assign结构来实现组合逻辑电路,在设计中会发现很多地方显得冗长且效率低下。适当地使用always来设计组合逻辑,会更具实效。
本实验描述的是一个简单的ALU指令译码电路的设计示例。它通过对指令的判断,对输入数据执行相应的操作,包括加、减、或和传数据,并且无论是指令作用的数据还是指令本身发生变化,结果都要做出及时的反应。
示例中使用了电平敏感的always块,电平敏感的触发条件是指在@后括号内电平列表的任何一个电平发生变化就能触发always块的动作,并且运用了case结构来进行分支判断。
在always中适当运用default(在case结构中)和else(子if…else结构中),通常可以综合为纯组合逻辑,尽管被赋值的变量一定要定义为reg型。如果不使用default或else对缺省项进行说明,易产生意想不到的锁存器。
三、源代码
电路描述
always@(opcode or data or accum)begin if(accum==8'b00000000)#1.2 zero=1;else #1.2 zero=0;
case(opcode)PASS0: #3.5 out =accum;PASS1: #3.5 out =accum;ADD: #3.5 out = data + accum;AND: #3.5 out =data&accum;XOR: #3.5 out =data^accum;PASSD: #3.5 out=data;PASS6:#3.5 out=accum;PASS7:#3.5 out=accum;default:#3.5 out=8'bx;endcase end
四、仿真结果与波形
LAB 5:存储器电路的设计一、二、实验目的 实验原理 设计和测试存储器电路。
本实验中,设计一个模块名为mem的存储器仿真模型,该存储器具有双线数据总线及异步处理功能。由于数据是双向的,所以要注意,对memory的读写在时序上要错开。
三、源代码
自行添加的代码
assign data=(read)?memory[addr]:8'hZ;
always @(posedge write)begin memory[addr]<=data[7:0];end
四、仿真结果与波形
LAB 6:设计时序逻辑时采用阻塞赋值与非阻塞赋值的区别
一、实验目的
明确掌握阻塞赋值与非阻塞赋值的概念和区别; 了解阻塞赋值的使用情况。
二、实验原理
在always块中,阻塞赋值可以理解为赋值语句是顺序执行的,而非阻塞赋值可以理解为并发执行的。实际时序逻辑设计中,一般情况下非阻塞赋值语句被更多的使用,有时为了在同一周期实现相互关联的操作,也使用阻塞赋值语句。
三、源代码
blocking.v `timescale 1 ns/ 100 ps
module blocking(clk,a,b,c);
output[3:0]b,c;
input [3:0]a;
input
clk;
reg
[3:0]b,c;
always@(posedge clk)
begin
b =a;
c =b;
$display(“Blocking: a=%d,b=%d,c=%d.”,a,b,c);
end endmodule
non_blocking.v `timescale 1 ns/ 100 ps module non_blocking(clk,a,b,c);
output[3:0] b,c;input[3:0] a;input clk;reg [3:0]b,c;always @(posedge clk)begin b<=a;c<=b;$display(“Non_blocking:a=%d,b=%d,c=%d”,a,b,c);end endmodule compareTop.v `timescale 1 ns/ 100 ps module compareTop;wire [3:0] b1,c1,b2,c2;reg[3:0]a;reg clk;initial begin clk=0;forever #50 clk=~clk;end initial $dumpvars(2,compareTop);initial begin a=4'h3;$display(“_______________________________”);# 100 a =4'h7;$display(“_______________________________”);# 100 a =4'hf;$display(“_______________________________”);# 100 a =4'ha;$display(“_______________________________”);# 100 a =4'h2;$display(“_______________________________”);# 100 $display(“_______________________________”);$finish;end non_blocking nonblocking(clk,a,b2,c2);blocking blocking(clk,a,b1,c1);endmodule
四、仿真结果与波形
LAB 7:利用有限状态机进行复杂时序逻辑的设计一、二、实验目的 实验原理 掌握利用有限状态机(FSM)实现复杂时序逻辑的方法。
控制器是CPU的控制核心,用于产生一系列的控制信号,启动或停止某些部件。CPU何时进行读指令,何时进行RAM和I/O端口的读写操作等,都由控制器来控制。
三、源代码
补充代码
nexstate<=state+1'h01;case(state)1:begin sel=1;rd=0;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 2:begin sel=1;rd=1;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 3:begin sel=1;rd=1;ld_ir=1;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 4:begin sel=1;rd=1;ld_ir=1;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 5:begin sel=0;rd=0;ld_ir=0;inc_pc=1;ld_pc=0;data_e=0;ld_ac=0;wr=0;if(opcode==`HLT)halt=1;end 6:begin sel=0;rd=alu_op;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 7:begin sel=0;rd=alu_op;ld_ir=0;halt=0;data_e=!alu_op;ld_ac=0;wr=0;if(opcode==`SKZ)inc_pc<=zero;if(opcode==`JMP)ld_pc=1;end 0:begin sel=0;rd=alu_op;ld_ir=0;halt=0;data_e=!alu_op;ld_ac=alu_op;inc_pc=(opcode==`SKZ)&zero||(opcode==`JMP);if(opcode==`JMP)ld_pc=1;if(opcode==`STO)wr=1;end //default:begin sel=1'bZ;rd=1'bZ;ld_ir=1'bZ;inc_pc=1'bZ;halt=1'bZ;ld_pc=1'bZ;data_e=1'bZ;ld_ac=1'bZ;wr=1'bZ;end endcase end
control_test.v /***************************** * TEST BENCH FOR CONTROLLER * *****************************/
`timescale 1 ns / 1 ns
module control_test;
reg [8:0] response [0:127];
reg [3:0] stimulus [0:15];
reg [2:0] opcode;
reg
clk;
reg
rst_;
reg
zero;
integer
i,j;
reg[(3*8):1] mnemonic;
// Instantiate controller
control c1(rd , wr , ld_ir , ld_ac , ld_pc , inc_pc , halt , data_e , sel , opcode , zero , clk , rst_);
// Define clock
initial begin
clk = 1;
forever begin
#10 clk = 0;
#10 clk = 1;
end
end
// Generate mnemonic for debugging purposes
always @(opcode)
begin
case(opcode)
3'h0
: mnemonic = “HLT”;
3'h1
: mnemonic = “SKZ”;
3'h2
: mnemonic = “ADD”;
3'h3
: mnemonic = “AND”;
3'h4
: mnemonic = “XOR”;
3'h5
: mnemonic = “LDA”;
3'h6
: mnemonic = “STO”;
3'h7
: mnemonic = “JMP”;
default : mnemonic = “???”;
endcase
end
// Monitor signals
initial
begin
$timeformat(-9, 1, “ ns”, 9);
$display(“ time
rd wr ld_ir ld_ac ld_pc inc_pc halt data_e sel opcode zero state”);
$display(“--------------------------------------------------------------”);//
$shm_open(“waves.shm”);//
$shm_probe(“A”);//
$shm_probe(c1.state);
end
// Apply stimulus
initial
begin
$readmemb(“stimulus.pat”, stimulus);
rst_=1;
@(negedge clk)rst_ = 0;
@(negedge clk)rst_ = 1;
for(i=0;i<=15;i=i+1)
@(posedge ld_ir)
@(negedge clk)
{ opcode, zero } = stimulus[i];
end
// Check response
initial
begin
$readmemb(“response.pat”, response);
@(posedge rst_)
for(j=0;j<=127;j=j+1)
@(negedge clk)
begin
$display(“%t %b %b %b
%b
%b
%b
%b
%b %b
%b
%b”,$time,rd,wr,ld_ir,ld_ac,ld_pc,inc_pc,halt,data_e,sel,opcode,zero,c1.state);
if({rd,wr,ld_ir,ld_ac,ld_pc,inc_pc,halt,data_e,sel}!==
response[j])
begin : blk
reg [8:0] r;
r = response[j];
$display("ERRORTEST1 PASSED!
111_00000
// 18
JMP BEGIN //run test again
@1A 00000000
// 1A
DATA_1:
//constant 00(hex)
11111111
// 1B
DATA_2:
//constant FF(hex)
10101010
// 1C
TEMP:
//variableTEST2 PASSED!
111_00000
// 11
JMP BEGIN
//run test again
@1A 00000001
// 1A
DATA_1:
//constant 1(hex)
10101010
// 1B
DATA_2:
//constant AA(hex)
11111111
// 1C
DATA_3:
//constant FF(hex)
00000000
// 1D
TEMP:
CPUtest3.dat //opcode_operand // addr
assembly code //--------------//-------------------------
111_00011
// 00
JMP LOOP
//jump to the address of LOOP @03 101_11011
// 03
LOOP:
LDA FN2
//load value in FN2 into accum
110_11100
// 04
STO TEMP
//store accumulator in TEMP
010_11010
// 05
ADD FN1
//add value in FN1 to accumulator
110_11011
// 06
STO FN2
//store result in FN2
101_11100
// 07
LDA TEMP
//load TEMP into the accumulator
110_11010
// 08
STO FN1
//store accumulator in FN1
100_11101
// 09
XOR LIMIT //compare accumulator to LIMIT
001_00000
// 0A
SKZ
//if accum = 0, skip to DONE
111_00011
// 0B
JMP LOOP
//jump to address of LOOP
000_00000
// 0C
DONE:
HLT
//end of program
101_11111
// 0D
AGAIN: LDA ONE
110_11010
// 0E
STO FN1
101_11110
// 0F
LDA ZERO
110_11011
// 10
STO FN2
111_00011
// 11
JMP LOOP
//jump to address of LOOP
@1A 00000001
// 1A
FN1:
//variablestores 2nd Fib.No.00000000
// 1C
TEMP:
//temporary variable
10010000
// 1D
LIMIT:
//constant 144stores 1st Fib.No.00000101
// 1B
data2:
//5
variablemax value
00000110
// 1E
LIMIT:
// 6
constant 1
11111111
// 1F
AND1:
//FF and
四、仿真结果与波形
第二部分 电路综合一、二、三、四、实验目的 实验内容 源代码
门级电路仿真结果与波形 掌握逻辑综合的概念和流程,熟悉采用Design Compiler进行逻辑综合的基本方法。采用SYNOPSYS公司的综合工具Design Compiler对实验7的control.v做综合。与实验指导书中相同。
五、思考题
1.control_pad.v文件是verilog语言及的描述还是结构化的描述?
是结构化的描述。
2.control_pad.sdf文件中,对触发器的延迟包括哪些信息?
包括对逻辑单元和管脚的上升/下降时延的最大值、最小值和典型值。
第三部分 版图设计一、二、三、四、实验目的 实验内容 源代码
仿真结果与波形 掌握版图设计的基本概念和流程,熟悉采用Sysnopsys ICC工具进行版图设计的方法。对电路综合输出的门级网表control_pad.v进行布局布线。与实验指导书中相同。布局规划后结果
未产生core ring和mesh前
产生core ring和mesh后
电源线和电影PAD连接后
filler PAD填充后
布局后结果
时钟树综合后结果
布线后结果
寄生参数的导出和后仿
五、思考题
1.简述ICC在design setup阶段的主要工作。
创建设计库,读取网表文件并创建设计单元,提供并检查时间约束,检查时钟。在对之前的数据与信息进行读取与检查后保存设计单元。2.为什么要填充filler pad?
filler pad把分散的pad单元连接起来,把pad I/O区域供电连成一个整体。使它们得到持续供电并提高ESD保护能力。3.derive_pg_connection的作用是什么?
描述有关电源连接的信息。4.简述floorplan的主要任务。
对芯片大小、输入输出单元、宏模块进行规划,对电源网络进行设计。5.简述place阶段的主要任务。
对电路中的延时进行估计与分析,模拟时钟树的影响,按照时序要求,对标准化单元进行布局。
6.简述CTS的主要步骤。
设置时钟树公共选项;综合时钟树;重新连接扫描链;使能传播时钟;Post-CTS布局优化;优化时钟偏移;优化时序。
实验总结
经过数周的ASIC专业实验,我对芯片设计流程、Verilog HDL语言、Linux基本指令和Vi文本编辑器有了基本的了解。虽然之前对芯片设计、VHDL一无所知,但通过实验初步熟悉了ASIC的体系结构和VHDL的基本语法,对电路中时钟、寄生参数、元件布局带来的影响也有了了解。我在实验中也遇到了许多问题,但我在老师、助教、同学的帮助下解决了这些问题,也有了更多收获。通过这次ASIC专业实验,我加深了对本专业的认识。我会继续努力成为合格的电子人。
第四篇:北邮2014《现代通信技术》实验报告二
2014《现代通信技术》实验报告二
信息与通信工程学院
现代通信技术实验报告
班
级:
姓
名:
序
号: 学
号: / 18
2014《现代通信技术》实验报告二
日
期:2014年4月16日/30日
目录
实验一 微波通信实验..................................................................................................3
一、实验原理........................................................................................................3
二、实验过程........................................................................................................3
三、实验心得体会................................................................................................3 实验二 组网及VLAN的应用....................................................................................4
一、实验目的........................................................................................................4
二、实验内容........................................................................................................4
三、实验原理........................................................................................................5
1、VLAN简介..............................................................................................5
2、交换机的端口..........................................................................................6
3、广播风暴..................................................................................................7
四、实验过程........................................................................................................7
五、结果与体会..................................................................................................12 附录..............................................................................................................................14
/ 18
2014《现代通信技术》实验报告二
实验一 微波通信实验
一、实验原理
微波是指频率为300MHz到300GHz的电磁波。微波具有直线传播的特性,为了克服地球的凸起必须采用中继接力的方式。实际中一般距离50km就有一个中继站。一条数字微波通信线路由两端的终端站,若干中继站和电波的传播空间构成。典型的数字微波端站由微波天线,射频收发模块,基带收发部分,传输接口等部分组成。
微波发信机多采用中频调制的方式。中频信号是已经经过调制的信号,上变频器将中频信号搬移到指定的微波波道,然后经过微波功放,经过天线发射出去。
微波收信机多采用超外差式接收结构。通过本振与接收的微波信号进行混频,得到固定中频信号,然后对中频进行放大和滤波。
二、实验过程
本实验数字微波通信系统为:34Mbit/s QPSK系统 ,中频频率是70MHz,射频频率是6GHz。在实验中信号不是直接发送出去,而且通过实体线路连接到接收方,通过信道衰减器模拟微波的远距离传输。
我们观察了眼图,将示波器连接到中频接收机的眼图观测点,通过控制信道衰减器来控制接收噪声的大小。我们观察到,一开始,信噪比大,眼图轮廓很清晰,眼睛睁得很开。微波站两边电话通话听的清楚。不过随着我们控制信道,使其衰减加剧,我们可以观察到示波器里眼图的眼睛轮廓慢慢不清晰了,眼睛越来越小。在眼睛还没完全闭上之前,我们还是能听到电话的声音,但是此时已经有一些杂音了。最后在眼图完全闭上后,我们就只能听到电话里的噪声了,不管对方声音多大也不能在这边的电话里面听到了。从混乱的眼图,我们可以知道信噪比急剧恶化,判决出错,无法还原出信号。
实验室的频谱仪虽然老,但是它能观察到的频谱范围很宽,能观察到6GHz的频谱。我们在频谱仪上观察了射频的频谱。
三、实验心得体会
第三次实验课结束后,我没有及时记录,到写报告的时候已经过去三周了,所以有些实验现象忘了。下次要吸取这个教训,实验结束后要及时记录下来。
在实验课的开头,老师带我们回忆了通信原理的框图,信源编码,信道编码,调制,解调,信道解码,信源解码,线路码,交织等等,帮助我们从整体框架上
/ 18
2014《现代通信技术》实验报告二
理解通信原理。老师指着微波站,介绍说这个微波站就是典型的通信原理框图。实验室的微波站是比较老式的,比较大,所以我们能看到微波站的各个部分和通信原理的框图对应的很好。通过对微波站各个部分的介绍,我们对通信原理的框图有了感性的认识。老师还帮我们回顾了采样,量化,编码等。通信里面的定理并不多,比如香农定理,奈奎斯特采样定理等。奈奎斯特采样定理架起了模拟信号与数学信号之间的桥梁,将信源进行数字化,发挥了重大的作用。
之前我在学习通信原理第四章模拟调制的时候,我不太明白为什么要先调制到中频,而不直接调制到指定的射频频段。经过这次实验我知道了中频频率为70MHz。把射频信号变到较低的中频信号的好处是,便于解调器的实现,便于更好得滤波,不同频率的接收机可以共用一套电路,只须改变本振和射频调谐回路的谐振频率即可。通过这次微波通信的实验,我们对通信系统用了比较完整的了解,让我们在通信原理里面学的理论知识在现实中有了对应,理解了通原里的框图在现实中是如何实现的。
这学期的课程里我也选了《移动通信》这门课,所以对微波、中继等知识的了解还是有一定的铺垫。虽然微波现在用得不那么多了,但是它却是不可或缺的备用路径。像无法架设光纤或者假设成本过高的地方如海底、山区、高原,微波通信非常必要。而像灾害易发区,比如万一地震了,光纤断了,那么牢固的微波中继站既不易损害,又容易修复,是尽快恢复灾区通信的必要手段。老师说汶川地震的时候从灾区传出来的第一条消息就是由那里的无线电爱好者发出来的。这次实验中,老师有问到怎样避免连续的比特错误,我脱口而出我知道的交织技术。原来我们之前学习的知识就是这样一步步为我们的通信服务的。
实验二 组网及VLAN的应用
一、实验目的
1.熟悉组成LAN的主要设备,了解掌握LAN的基本特点以及LAN中的常用技术;
2.认识了解LAN、VLAN以及子网的建立和联网、网络配置和协议; 3.进一步了解VLAN的隔离广播功能; 4.了解VLAN的互访功能。
二、实验内容
(1)通过Console口访问以太网交换机、路由器
/ 18
2014《现代通信技术》实验报告二
(2)通过微机Telnet到以太网交换机、路由器(3)(4)(5)(6)初步了解一些简单命令
用ping命令测试Vlan网络连通性,加深对Vlan的基本原理和特点的认识 通过多台交换机串联扩大网络实现组播功能 观察广播风暴现象
(7)简单介绍路由器的相关知识
三、实验原理
1、VLAN简介
VLAN,是英文Virtual Local Area Network的缩写,中文名为“虚拟局域网”,VLAN是一种将局域网(LAN)设备从逻辑上划分(注意,不是从物理上划分)成一个个网段(或者说是更小的局域网LAN),从而实现虚拟工作组(单元)的数据交换技术。
VLAN这一新兴技术主要应用于交换机和路由器中,但目前主流应用还是在交换机之中。不过不是所有交换机都具有此功能,只有三层以上交换机才具有此功能,这一点可以查看相应交换机的说明书即可得知。VLAN的好处主要有三个:
/ 18
2014《现代通信技术》实验报告二
(1)端口的分隔。即便在同一个交换机上,处于不同VLAN的端口也是不能通信的。这样一个物理的交换机可以当作多个逻辑的交换机使用。
(2)网络的安全。不同VLAN不能直接通信,杜绝了广播信息的不安全性。
(3)灵活的管理。更改用户所属的网络不必换端口和连线,只更改软件配置就可以了。VLAN(虚拟局域网)主要有以下几种划分方式,分别为:(1)基于端口划分的VLAN;(2)基于MAC地址划分VLAN;(3)基于网络层划分VLAN;(4)根据IP组播划分VLAN;(5)按策略划分的VLAN;
(6)按用户定义、非用户授权划分的VLAN。
基于端口的VLAN的方式是最常应用的一种VLAN划分方法,应用也最为广泛、最有效,目前绝大多数VLAN协议的交换机都提供这种VLAN配置方法。老师课上讲到的就是基于端口划分的VLAN。
2、交换机的端口
交换机端口链路类型介绍
交换机以太网端口共有三种链路类型:Access、Trunk和Hybrid。(1)Access类型的端口只能属于1个VLAN,一般用于连接计算机的端口;
(2)Trunk类型的端口可以属于多个VLAN,可以接收和发送多个VLAN的报文,一般用于交换机之间连接的端口;
(3)Hybrid类型的端口可以属于多个VLAN,可以接收和发送多个VLAN的报文,可以用于交 换机之间连接,也可以用于连接用户的计算机。
其中,Hybrid端口和Trunk端口的相同之处在于两种链路类型的端口都可以允许多个VLAN的报文发送时打标签;不同之处在于Hybrid端口可以允许多个VLAN的报文发送时不打标签,而Trunk端口只允许缺省VLAN的报文发送时不打标签。
三种类型的端口可以共存在一台以太网交换机上,但Trunk端口和Hybrid端口之间不能直接切换,只能先设为Access端口,再设置为其他类型端口。例如:Trunk端口不能直接被设置为Hybrid端口,只能先设为Access端口,再设置为Hybrid端口。各类型端口使用注意事项:
配置Trunk端口或Hybrid端口,并利用Trunk端口或Hybrid端口发送多个VLAN报文时一定要注意:本端端口和对端端口的缺省VLAN ID(端口的PVID)要保持一致。
当在交换机上使用isolate-user-vlan来进行二层端口隔离时,参与此配置的端口的链路类型会自动变成Hybrid类型。
Hybrid端口的应用比较灵活,主要为满足一些特殊应用需求。此类需求多为在无法下发访问控制规则的交换机上,利用Hybrid端口收发报文时的处理机制,来完成对同一网段的PC机之间的二层访问控制。
/ 18
2014《现代通信技术》实验报告二
3、广播风暴
所谓广播风暴,简单的讲,当广播数据充斥网络无法处理,并占用大量网络带宽,导致正常业务不能运行,甚至彻底瘫痪,这就发生了“广播风暴”。一个数据帧或包被传输到本地网段(由广播域定义)上的每个节点就是广播;由于网络拓扑的设计和连接问题,或其他原因导致广播在网段内大量复制,传播数据帧,导致网络性能下降,甚至网络瘫痪,这就是广播风暴。
四、实验过程
1.通过Console口访问以太网交换机 示意图:
2.打开超级终端,新建连接时进行设置 / 18
2014《现代通信技术》实验报告二
3.打开交换机,选择更改界面语言
4.键入?查看可用命令 / 18
2014《现代通信技术》实验报告二
5.尝试键入一些简单命令
6.VLAN的基本配置 示意图
首先建立两个VLAN:VLAN2和VLAN3
/ 18
2014《现代通信技术》实验报告二
分别进入E0/
1、E0/
2、E0/3以太网端口视图进行配置
使用display interface命令查看,可以看到E0/
1、E0/2的默认VLAN变为VLAN2,E0/3的默认VLAN变为VLAN3
/ 18
2014《现代通信技术》实验报告二
下面可以通过在计算机上使用ping命令检测设置是否正确
在设置VLAN前,从Host3:192.168.0.3能够ping通Host1:192.168.0.1,而设置VLAN后则ping不同
设置VLAN后,从Host2:192.168.0.2上能够ping通Host1:192.168.0.1,而不能够ping通Host3:192.168.0.3
/ 18
2014《现代通信技术》实验报告二
五、结果与体会
这次实验,我们组四个女生都没有参加过计网的课设,所以我们中间遇到了很多问题,然后跑去别的组请教做过课设的同学,磕磕绊绊地最终完成了。一开始我们连好线路,打开超级终端,设置好各种参数后,我们按照讲义一步一步地执行,但是我们第一步便出了点小问题:我们想先ping一下我们的连线有没有连好,IP设置是否如我们所料,于是用超级终端ping。但是始终显示的结果是连接不上。后来我猜测,有可能不是用超级终端ping,而是在命令提示符上ping。一试果然成功。后来,我们继续按照教程做。但是我们遇到了一个问题:怎么把尖括号变成方括号?我们都记得老师上课有讲过,但是因为接受的内容一下子太多了,我们没记住那么多,于是我只好跑去问临组做过计网课设的同学。之后进行得后面的步骤。后来我们翻了一下教程的前一页,是有介绍的,只怪我们太粗心没有发现。一开始我们没考虑那么多(当然在做之前也不知道),随便插的端口,14,16,20端口。后来,在执行display命令的时候,我们就哭了。因为它从1号端口一个个显示,要一直摁回车到20端口!吃一堑长一智,我们再做不会再随便插大数字端口了。而我们真正的问题是在广播风暴上。我们发现我们一连好线路就会产生广播风暴。我们一开始以为这不正确,后来问了做过计网课设的同学后,他告诉我们这是正常的。组织广播风暴的方法老师也讲过,一种是硬件上的,即切断线路。
/ 18
2014《现代通信技术》实验报告二
这在实际操作中是不太可能的;另一种就是软件上的,我们需要输入一个命令,从软件上阻止广播风暴。遗憾的是我并不了解软件上的阻止广播风暴机理是怎样的,只知道输入命令便可以阻止了。以下是我们做VLAN部分的ping结果:
/ 18
2014《现代通信技术》实验报告二
通过实验我初步了解了VLAN,交换机端口类型,广播风暴等内容。我准备读研的时候就读网络方向的,这次的实验真的给我一个切身的体会,让我对计算机网络产生了极大的兴趣。理论与实践的结合,让我印象更加深刻。但是遗憾的一点是我们操作不够熟练,没有完成老师布置的选作任务。如果还有机会的话我肯定会把后面的实验也一起做了。
至此现代通信技术实验课也结束了。我真的感觉这门课开设的实验很有用,不仅扫盲,而且真正让我们认识到了我们学的是什么,我们为什么学这方面的知识,通信到底是什么。作为一名未来的通信人,我终于对我们的专业有了一个新的认知与定位,获益匪浅。
附录
VLAN部分的操作: [H3C]vlan 2 [H3C-vlan2]quit [H3C]vlan 3 [H3C-vlan3]quit [H3C]int [H3C]interface e [H3C]interface Ethernet 1/0/14 [H3C-Ethernet1/0/14]port link-type access [H3C-Ethernet1/0/14]port access vlan 2 [H3C-Ethernet1/0/14]quit [H3C]interface e [H3C]interface Ethernet 1/0/16 [H3C-Ethernet1/0/16]port link [H3C-Ethernet1/0/16]port link-type access [H3C-Ethernet1/0/16]port [H3C-Ethernet1/0/16]port a [H3C-Ethernet1/0/16]port access vlan 2
/ 18
2014《现代通信技术》实验报告二
[H3C-Ethernet1/0/16]quit [H3C]inter [H3C]interface e [H3C]interface Ethernet 1/0/20 [H3C-Ethernet1/0/20]port link [H3C-Ethernet1/0/20]port link-type access [H3C-Ethernet1/0/20]port a [H3C-Ethernet1/0/20]port access vlan 3 [H3C-Ethernet1/0/20]quit
Ethernet1/0/14是 UP 发送的IP帧的帧格式是 PKTFMT_ETHNT_2 硬件地址是000f-e25f-688c 导线类型是 双绞线 端口环回没有设置
端口硬件类型是 100_BASE_TX 100Mbps-速度 模式, 全双工 模式
链路速度类型是自协商, 链路双工类型是自协商, 流量控制: 不使能
最大帧长 1536 最多允许广播报文占用接口流量的百分比: 100% 缺省VLAN ID: 2 网线类型为: normal 端口模式: access Tagged
VLAN ID : 无
Untagged VLAN ID : 2 最后 300 秒钟的输入: 0包/秒 0字节/秒
最后 300 秒钟的输出: 0包/秒 6字节/秒
输入(合计):
219 报文, 28361 字节
/ 18
2014《现代通信技术》实验报告二
广播包, 24 多播包, 0 暂停包
输入(正常):
219 报文, 28361 字节
广播包, 24 多播包, 0 暂停包
输入 :
0 输入错误, 0 超短包, 0 超长包, 输入碰撞错误, 0 输入描述符错误,奇偶错误
输出(合计):
389 报文, 56227 字节
253 广播包, 76 多播包, 0 暂停包
输出(正常):
389 报文,暂停包
输出 :
0 输出错误, 缓冲失败
0 丢失, 0 延时, 0 冲突, 0 被滞后冲突
-包被滞后发送,不完整, 0 校验和错误
0 帧错误, 丢失,字节
227 广播包, 77 多播包,下溢错误,丢失载波
Ethernet1/0/20是 UP 发送的IP帧的帧格式是 PKTFMT_ETHNT_2 硬件地址是000f-e25f-688c 导线类型是 双绞线 端口环回没有设置
端口硬件类型是 100_BASE_TX 100Mbps-速度 模式, 全双工 模式
链路速度类型是自协商, 链路双工类型是自协商, 流量控制: 不使能
最大帧长 1536 最多允许广播报文占用接口流量的百分比: 100%
/ 18
2014《现代通信技术》实验报告二
缺省VLAN ID: 3 网线类型为: normal 端口模式: access Tagged
VLAN ID : 无
Untagged VLAN ID : 3 最后 300 秒钟的输入: 0包/秒 2字节/秒
最后 300 秒钟的输出: 0包/秒 2字节/秒
输入(合计):
187 报文, 25382 字节
广播包, 22 多播包, 0 暂停包
输入(正常):
187 报文, 25382 字节
广播包, 22 多播包, 0 暂停包
输入 :
0 输入错误, 0 超短包, 0 超长包, 输入碰撞错误, 0 输入描述符错误,奇偶错误
输出(合计):
315 报文, 44026 字节
218 广播包, 75 多播包, 0 暂停包
输出(正常):
315 报文,暂停包
输出 :
0 输出错误, 缓冲失败
0 丢失, 0 延时, 0 冲突, 0 被滞后冲突
-包被滞后发送,-丢失载波
/ 18
第五篇:北邮数据库实验报告
数据库实验报告
(三)姓名:学号:班级:
1.用Transact-SQL语句、数据导入、SQL Server Management Studio(企业管理器)输入的方法对所给定的8张表的数据输入到数据库中。自行决定每张表的数据导入办法,但每种方法各针对二或三张表。
Transact-SQL语句: 导入department,student, student_course表。
insertinto department select*from openrowset
('microsoft.jep.oledb.4.0','excel 5.0;hdr=yes;database=D:课件数据库database2.xls',department$);
insertinto student select*from openrowset
('microsoft.jep.oledb.4.0','excel 5.0;hdr=yes;database=D:课件数据库database2.xls',student$);
insertinto student_course select*from openrowset
('microsoft.jep.oledb.4.0','excel 5.0;hdr=yes;database=D:课件数据库database2.xls',student_course$);
数据导入:
操作:选中数据库studentsdb,右键-任务-导入数据。导入book, class, course表。
SQL Server Management Studio: 操作:右键需要编辑的表,选择编辑前200行。
Teacher:
Teacher_course_class:
导入结果: Book:
Class:
Course:
Department:
Student:
Student_course:
Teacher:
Teacher_course_class:
2.用Transact-SQL向Course表中插入一条记录,course_name为空,看运行的结果。
SQL语句:
INSERTINTO course VALUES('dep02_s002', null,'dep02_s002', '72', '5', '4');运行结果:
分析:course_name有not null的约束,因此这条语句不能执行。
3.用Transact-SQL修改Course表中credit为5的记录,将其credit改为7, credit小于4的改为2,看运行的结果。
SQL语句:
update course set credit=7 where credit=5;执行结果:
分析:约束C1指定了credit的范围为1至6.SQL语句:
update course set credit=2 where credit<4;执行结果:
4.删除一条学生记录,看运行结果,对运行结果进行分析。SQL语句:
deletefrom student where student_id='g9940201';执行结果:
分析:因为有参照完整性约束,不能删除。
5.用Transact-SQL完成将编号为dep04_b001的课程的选修信息插入到一个新的选课信息表中。
SQL语句:
Creattablestudent_course2(course_idchar(20), student_idchar(20)gradeint, creditint, semesterint,school_yearchar(20),primarykey(course_id,student_id));
insertintostudent_course2 select*fromstudent_course wherecourse_id='dep04_b001';执行结果:
6.用Transact-SQL完成删除单片机原理课程的选课信息,分析运行结果。
SQL语句:
deletefrom student_course where course_id in(select course_id from course
where course_name='单片机原理')执行结果: 分析:所有课程号为dep04_s003的课程被删除。
本实验中遇到的问题和解决方法:
本实验的顺利完成需要预先作很多准备工作。以下就是我在遇到缺少组件accessdatabaseengine时的解决过程的记录。
AccessDatabaseEngine的安装
accessdatabaseengine用于和office连接,导入导出数据,本实验中需要导入excel文件。安装配合office的版本,我安装的是accessdatabaseengine2017(English)版本。安装32位版本,因office2016是32位。之前误操作安装了不能使用的老旧版本accessdatabaseengine2007,通过控制面板-应用程序卸载将其卸载了。安装accessdatabaseengine依然报错,是因为microsoftofficeclicktorun阻碍sqlserver的一些功能,需要将其卸载。这是微软推出的用于减少office打开速度的应用程序,安装office2016时会自动安装上,原理是开机时将一部分内容放到内存中,因此打开文件时会更快一些。检测自己的office是通过clickto run 还是MSI安装的,可以在word中点击文件-账户,查看产品信息,如果有下图中“office更新”这个选项,则说明安装过click to run。这个程序在控制面板-应用程序中找不到,因此用删除注册表的方式卸载。快捷键“win+R”输入“regedit”打开注册表编辑器,左边HKEY_CLASSES_ROOT-Installer-Product-00006开头的选项,有四个。单击这几个选项,在右侧查看详细信息,可以看到ProductNam是Microsoft Access database engine 2007(我原来误安装的老版本)。删除之前先备份注册表。方法一:选中要删除的文件,右键-导出,保存。只保存了要删除的文件。方法二:注册表编辑器,文件-导出,保存。保存了注册表所有信息。这是因为如果误删了重要文件会导致严重后果,可能需要重装系统,留此备份是为了可以恢复系统。
备份完之后,选中要删除的文件(00006开头的四个),右键-删除即可。回到Access database engine 32位的程序安装包,安装。我无法安装64位,可能是因为office是32位。安装成功之后就可以在sqlserver中导入excel文件了。