交通灯控制电路设计数电课程设计+数字电路课程设计

时间:2019-05-15 11:40:05下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《交通灯控制电路设计数电课程设计+数字电路课程设计》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《交通灯控制电路设计数电课程设计+数字电路课程设计》。

第一篇:交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计

简易交通灯控制逻辑电路设计

专业班级:09自动化一班

时间:2011.12.12-2011.12.19

姓名:

指导教师: :郭计云

大同大学电气工程系

目录

一、课程题目……………………………………………….2

二、设计要求……………………………………………….2

三、系统框图及说明………………………………………..2

四、单元电路设计…………………………………………..4

五、仿真过程与效果分析

………………………………….12

六、体会总结……………………………………………….13

七、参考文献………………………………………………13

《一》课程设计题目:

交通灯控制电路设计

《二》设计要求:

1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支 干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。

2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;

3、黄灯亮时,要求每秒闪亮一次。

4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。

5、同步设置人行横道红、绿灯指示。

《三》系统框图及说明:

1、分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图 1-1 所示。它主要由计时电路、主控电路、信号

灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是 555 定时器;计时计数器是

由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信

号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)

2、信号灯转换器

状态与车道运行状态如下:

S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行

S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行

S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道通行,人行道禁止通行

S3:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的黄灯亮,车道缓行, 人行道禁止通行

G1=1:主干道绿灯亮 Y1=1:主干道车道黄灯亮

R1=1:主干道车道红灯亮,人行道绿灯亮;南北方向人行道红灯亮

G2=1:支干道车道绿灯亮

Y2=1:支干道车道黄灯亮

R2=1:支干道车道红灯亮,人行道绿灯亮;东西方向人行道红灯亮

四.单元电路设计

1.主控电路:

1).原理:

通过一片 74LS160,选择其 4 个状态、分别为(00 01 10 11)分别表示主绿支红、主黄支红、主红支绿、主红支00->(30 秒)01->(5 秒)10->(20 秒)11(5 秒){循环图}。中间延时通过计时电路来实现。

2).原器件的选择及参数:

若选集成计数器74160,74160 是一个具有同步清零、同步置数、可保持状态不变的4 位二进制加法计数器。表1-1 是它的状态表。

表1-1 74160 的状态表

CLR

LOAD

ENP

ENT

CLK

A B D C

QA QB OC OD

0

X

X

X

X

X X X X

0

0

0

0

0

0

0

POS

X X X X

A

B

C

D

POS

X X X X

Count

X

X

X X X X

QA0 QB0 QC0 QD0

X

X

X X X X

QA0 QB0 QC0 QD0

设状态编码为:S0=0000

S1=0001

S2=0010

S3=0011,则其状态表为:

表1-2

状态编码与信号灯关系表

Qd Qc Qb Qa

G1

Y1

R1

G2

Y2

R2

0 0 0 0

0

0

0

0

0 0 0 1

0

0

0

0

0 0 1 0

0

0

0

0

0 0 1 1

0

0

0

0

态的相应控制来分别实现30 秒、5 秒、25 秒。通过7448(2 片)译码器和数码管的连接 的连接实现几个灯时间的显示。

2).原器件的选择及参数:

若选集成计数器74160(2片),采用同步整体置数。译码器7448(2片)、7段

数码管(2个)等。

表1-3 7447 状态表

Inputs

Outputs

No.LT

RBI

D C B A

BI/RBO | a b c d e f g

----|----|-----|-----------|--------|--------------

0 | 1 | 1 | 0 0 0 0 |

| 1 1 1 1 1 1 0

| 1 | X | 0 0 0 1 |

| 0 1 1 0 0 0 0

| 1 | X | 0 0 1 0 |

| 1 1 0 1 1 0 1

| 1 | X | 0 0 1 1 |

| 1 1 1 1 0 0 1

----|----|-----|-----------|--------|--------------

| 1 | X | 0 1 0 0 |

| 0 1 1 0 0 1 1

| 1 | X | 0 1 0 1 |

| 1 0 1 1 0 1 1

| 1 | X | 0 1 1 0 |

| 0 0 1 1 1 1 0

| 1 | X | 0 1 1 1 |

| 1 1 1 0 0 0 0

----|----|-----|-----------|--------|--------------

| 1 | X | 1 0 0 0 |

| 1 1 1 1 1 1 1

| 1 | X | 1 0 0 1 |

| 1 1 1 0 0 1 1

表 1-4 状态编码与时间关系表

开关(s)A

B

C

时间(T)

0

0

0

0

0

0

3)电路接法如下:

3.支干道计时电路

1)原理:

通过 74LS160(2 片)采用串行同步整体置数级连和下一个状态的相应控制来

分别实现30秒、5秒、25秒。通过7448(2片)译码器

和数码管的连接的连接实现几个灯时间的显示。

2).原器件的选择及参数:

若选集成计数器 74160(2 片),采用同步整体置数。译码器 7448(2 片)、7

段数码管(2个)等。基本上与主干道计时电路一样。

表 1-5 状态编码与时间关系表

开关(s)A

B

C

时间(T)

0

0

0

0

0

0

计数器选用集成电路74190 进行设计较简便。74190 是十进制同步可逆计数器,它

具有异步并行置数功能、保持功能。74190没有专用的清零输入端,但可以借助QA、QB、QC、QD 的输出数据间接实现清零功能。

表 1-4

74190 的状态表

CTEN D/U CLK LOAD

A B C D

QA QB QC QD

0

X

X

0

X X X X

A

B

C

D

0

POS

X X X X

Count Down

0

0

POS

X X X X

Count Up

X

X

X

X X X X

Qa0 Qb0 Qc0 Qd0

图1-5

现选用两个 74190 芯片级联成一个从 99 倒计到 00 的计数器,其中作为个位数的

74190 芯片的CLK 接秒脉冲发生器(频率 为 1),再把个位数 74190 芯片输出端的QA、QD 用一个与门连起来,再接在十位数 74190 芯片的CLK 端。当个位数减到0时,再减1

就会变成9,0(0000)和9(1001)之间的 QA、QD 同时由 0 变为1,把QA、QD 与

起来接在十位数的CLK 端,此时会给十位数 74190 芯片一个脉冲数字减1,相当于借位。具体连接方法如图 1-5所示。

信号 LD 由两个芯片的8 个输出端用或门连起来,决定倒计时是置数,还是计数

工作开始时,LD为0,计数器预置数,置完数后,LD 变为 1,计数器开始倒计时。当倒

计时减到数00 时,LD 又变为 0,计数器又预置数,之后又倒计时,如此循环下去。

图 1-6

预置数(即车的通行时间)功能:如图 1-6所示,8个开关分别接十位数 74190 芯

片的D、C、B、A 端和个位数 74190 芯片的D、C、B、A 端。预置数的范围为6~98。

假如把通行时间设为45 秒,就像图1-5的接法,A 接 0,B 接 1,C 接 0,D 接 0,E 接

0,F 接 1,G 接 0,H 接 1。(接电源相当于接 1,悬空相当于接 0)

图 1-7

向译码器提供模5 的定时信号T5 和模0 的定时信号T0,它表示倒计时减到数“00”

(也即绿灯的预置时间,因为到00时,计数器重新置数),T =1,此时T 给译码器一个脉

冲号灯发生转换,一个方向的绿灯亮,另一个方向的红灯亮。接法 为:把两个74190 计数

器的8 个输出端用一个或非门连起来。T 表示倒计时减到数“05”时。T =1,此时T 给译

码器一个脉冲,使信号灯发生转换,绿灯的变为黄灯,红灯的不变。接法为:当减到数为“05”

(0000 0101)时,把十位计数器的输出端QA.QB、QC、QD连同个位计数器的输出端QB、QD用一个或非门连起来,再把这个或非门与个位计数器的输出端QA、QC用一个与门连接

起来。具体连接方法如图1-7 所示。

4、黄灯闪烁控制

要求黄灯每秒闪一次,即黄灯0.5 秒亮,0.5 秒灭,故用一个频率为2 的脉冲与控制黄

灯的输出信号用一个与门连进来,再接黄灯。

图 1-8

《五》 仿真过程与效果分析

1、根据题目的要求,整个交通灯控制系统需要有4 个时间显示器,10 个交通灯。但由于 4 个时间显示器是由同一个倒计时计数器控制,所以我在设计图 1-8 电路的过程中,为了简化电路使画图看起来更加清晰,就只接了1 个时间显示器。

另外由于人行道的红绿灯跟车道的红绿灯是同步的,分别是:东西方向人行道的绿灯接车道的红灯,红灯接南北方向车道的红灯;南北方向人行道的绿灯接车道的红灯,红灯接车道的红灯。所以在图1-8 电路中就只接了6 个灯。

2、为了使电路更加直观,我把计数器、信号灯灯转换器等放在一个名为main 的子电路中。然后再在子电路外面接输入端和输出端。

3、点击启动按钮,然后再打开总开关,便可以进行交通灯控制系统的仿真,电路默认把通车时间设为45 秒,打开总开关,东西方向车道的绿灯亮,人行道的红灯亮;南北方向车道的红灯亮,人行道的绿灯亮。时间显示器从预置的 45 秒,以每秒减 1,减到数 5 时,东西方向车道的绿灯转换为黄灯,而且黄灯每秒闪一次,其余灯都不变。减到数 1 时,1 秒后显示器又转换成预置的45 秒,东西方向车道的黄灯转换为红灯,人行道的红灯转换为

绿灯;南北方向车道的红灯转换为绿灯,人行道的绿灯转换为红东西方向灯。如此循环下去。

4、修改通车时间为其它的值再进行仿真(时间范围为6~98 秒),效果同3 一样,总开关一打开,东西方向车道的绿灯亮,时间倒计数 5,车灯进行一次转换,到0 秒时又进行转换,而且时间重

置为预置的数值,如此循环。

《六》体会总结

1、通过这次课程设计,加强了我动手、思考和解决问题的能力。

在整个设计过程中,我总共想过两个方案,另一个方案弄了两天,结果总是实现不了题目的要求。所以我又花了一天的时间做出这个方案,这个相对另一个方案比较简单,包括电路原理和连接,和芯片上的选择。这个方案总共只用了四个芯片,分别为 2 个74190 计数器,2个 JK触发器。

2、在设计过程,经常会遇到这样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了。所以这几天不管是吃饭还是睡觉,脑子里总是想着如何解决这些问题,如何想出更好的连接方法。不过说也奇怪,整天想着这些问题,脑子和身体却一点都不会觉得累。或许是那种渴望得到知识的欲念把疲劳赶到九宵云外去了吧!

3、我沉得做课程设计同时也是对课本知识的巩固和加强,平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功时看课本,这次看了,下次就忘了,主要是因为没有动手实践过吧!认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。故一个小小的课程设计,对我们的作用是如此之大。《七》

参考文献 [1] 周常森,《电子电路计算机仿真技术》,山东科技出版社,2000 [2] 周正新,《电子设计自动话实践与训练》,中国民航出版社,1988 [3] 吴培明,《电子技术虚拟实验》,机械工业出版社,1999 [4] 钟问耀,《EWB电路设计入门与应用》,清华大学出版社,2000 [5] 康华光,《电子技术基础》,高等教育出版社,2000 [6] 刘润华,《现代电子系统设计》,石油大学出版社,1998 [7] 邱关源,《电路》,高等教育出版社,1999 [8] 陆 坤,《电子设计技术》,电子科技大学出版社,1997 [9] 姚福安,《电子电路设计与实践》,山东科学技术出版社,2002 [10] 何书森、何华斌,《实用数字电路与设计速成》,福建科学出版社,2000 [11] 童诗白、徐振英,《现代电子学及应用》,北京高等教育出版社,1994

第二篇:交通灯控制电路设计数电课程设计+数字电路课程设计

目录

一、课程题目……………………………………………….2

二、设计要求……………………………………………….2

三、系统框图及说明………………………………………..2

四、单元电路设计…………………………………………..4

五、仿真过程与效果分析

………………………………….12

六、体会总结……………………………………………….13

七、参考文献………………………………………………13

《一》课程设计题目:

交通灯控制电路设计

《二》设计要求:

1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支 干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。

2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;

3、黄灯亮时,要求每秒闪亮一次。

4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。

5、同步设置人行横道红、绿灯指示。

《三》系统框图及说明:

1、分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图 1-1 所示。它主要由计时电路、主控电路、信号

灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是 555 定时器;计时计数器是

由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信

号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)

2、信号灯转换器

状态与车道运行状态如下:

S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行

S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行

S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道通行,人行道禁止通行

S3:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的黄灯亮,车道缓行, 人行道禁止通行

G1=1:主干道绿灯亮 Y1=1:主干道车道黄灯亮

R1=1:主干道车道红灯亮,人行道绿灯亮;南北方向人行道红灯亮

G2=1:支干道车道绿灯亮

Y2=1:支干道车道黄灯亮

R2=1:支干道车道红灯亮,人行道绿灯亮;东西方向人行道红灯亮

四.单元电路设计

1.主控电路:

1).原理:

通过一片 74LS160,选择其 4 个状态、分别为(00 01 10 11)分别表示主绿支红、主黄支红、主红支绿、主红支00->(30 秒)01->(5 秒)10->(20 秒)11(5 秒){循环图}。中间延时通过计时电路来实现。

2).原器件的选择及参数:

若选集成计数器74160,74160 是一个具有同步清零、同步置数、可保持状态不变的4 位二进制加法计数器。表1-1 是它的状态表。

表1-1 74160 的状态表

CLR

LOAD

ENP

ENT

CLK

A B D C

QA QB OC OD

0

X

X

X

X

X X X X

0

0

0

0

0

0

0

POS

X X X X

A

B

C

D

POS

X X X X

Count

X

X

X X X X

QA0 QB0 QC0 QD0

X

X

X X X X

QA0 QB0 QC0 QD0

设状态编码为:S0=0000

S1=0001

S2=0010

S3=0011,则其状态表为:

表1-2

状态编码与信号灯关系表

Qd Qc Qb Qa

G1

Y1

R1

G2

Y2

R2

0 0 0 0

0

0

0

0

0 0 0 1

0

0

0

0

0 0 1 0

0

0

0

0

0 0 1 1

0

0

0

0

态的相应控制来分别实现30 秒、5 秒、25 秒。通过7448(2 片)译码器和数码管的连接 的连接实现几个灯时间的显示。

2).原器件的选择及参数:

若选集成计数器74160(2片),采用同步整体置数。译码器7448(2片)、7段

数码管(2个)等。

表1-3 7447 状态表

Inputs

Outputs

No.LT

RBI

D C B A

BI/RBO | a b c d e f g

----|----|-----|-----------|--------|--------------

0 | 1 | 1 | 0 0 0 0 |

| 1 1 1 1 1 1 0

| 1 | X | 0 0 0 1 |

| 0 1 1 0 0 0 0

| 1 | X | 0 0 1 0 |

| 1 1 0 1 1 0 1

| 1 | X | 0 0 1 1 |

| 1 1 1 1 0 0 1

----|----|-----|-----------|--------|--------------

| 1 | X | 0 1 0 0 |

| 0 1 1 0 0 1 1

| 1 | X | 0 1 0 1 |

| 1 0 1 1 0 1 1

| 1 | X | 0 1 1 0 |

| 0 0 1 1 1 1 0

| 1 | X | 0 1 1 1 |

| 1 1 1 0 0 0 0

----|----|-----|-----------|--------|--------------

| 1 | X | 1 0 0 0 |

| 1 1 1 1 1 1 1

| 1 | X | 1 0 0 1 |

| 1 1 1 0 0 1 1

表 1-4 状态编码与时间关系表

开关(s)A

B

C

时间(T)

0

0

0

0

0

0

3)电路接法如下:

3.支干道计时电路

1)原理:

通过 74LS160(2 片)采用串行同步整体置数级连和下一个状态的相应控制来

分别实现30秒、5秒、25秒。通过7448(2片)译码器

和数码管的连接的连接实现几个灯时间的显示。

2).原器件的选择及参数:

若选集成计数器 74160(2 片),采用同步整体置数。译码器 7448(2 片)、7

段数码管(2个)等。基本上与主干道计时电路一样。

表 1-5 状态编码与时间关系表

开关(s)A

B

C

时间(T)

0

0

0

0

0

0

计数器选用集成电路74190 进行设计较简便。74190 是十进制同步可逆计数器,它

具有异步并行置数功能、保持功能。74190没有专用的清零输入端,但可以借助QA、QB、QC、QD 的输出数据间接实现清零功能。

表 1-4

74190 的状态表

CTEN D/U CLK LOAD

A B C D

QA QB QC QD

0

X

X

0

X X X X

A

B

C

D

0

POS

X X X X

Count Down

0

0

POS

X X X X

Count Up

X

X

X

X X X X

Qa0 Qb0 Qc0 Qd0

图1-5

现选用两个 74190 芯片级联成一个从 99 倒计到 00 的计数器,其中作为个位数的

74190 芯片的CLK 接秒脉冲发生器(频率 为 1),再把个位数 74190 芯片输出端的QA、QD 用一个与门连起来,再接在十位数 74190 芯片的CLK 端。当个位数减到0时,再减1

就会变成9,0(0000)和9(1001)之间的 QA、QD 同时由 0 变为1,把QA、QD 与

起来接在十位数的CLK 端,此时会给十位数 74190 芯片一个脉冲数字减1,相当于借位。具体连接方法如图 1-5所示。

信号 LD 由两个芯片的8 个输出端用或门连起来,决定倒计时是置数,还是计数

工作开始时,LD为0,计数器预置数,置完数后,LD 变为 1,计数器开始倒计时。当倒

计时减到数00 时,LD 又变为 0,计数器又预置数,之后又倒计时,如此循环下去。

图 1-6

预置数(即车的通行时间)功能:如图 1-6所示,8个开关分别接十位数 74190 芯

片的D、C、B、A 端和个位数 74190 芯片的D、C、B、A 端。预置数的范围为6~98。

假如把通行时间设为45 秒,就像图1-5的接法,A 接 0,B 接 1,C 接 0,D 接 0,E 接

0,F 接 1,G 接 0,H 接 1。(接电源相当于接 1,悬空相当于接 0)

图 1-7

向译码器提供模5 的定时信号T5 和模0 的定时信号T0,它表示倒计时减到数“00”

(也即绿灯的预置时间,因为到00时,计数器重新置数),T =1,此时T 给译码器一个脉

冲号灯发生转换,一个方向的绿灯亮,另一个方向的红灯亮。接法 为:把两个74190 计数

器的8 个输出端用一个或非门连起来。T 表示倒计时减到数“05”时。T =1,此时T 给译

码器一个脉冲,使信号灯发生转换,绿灯的变为黄灯,红灯的不变。接法为:当减到数为“05”

(0000 0101)时,把十位计数器的输出端QA.QB、QC、QD连同个位计数器的输出端QB、QD用一个或非门连起来,再把这个或非门与个位计数器的输出端QA、QC用一个与门连接

起来。具体连接方法如图1-7 所示。

4、黄灯闪烁控制

要求黄灯每秒闪一次,即黄灯0.5 秒亮,0.5 秒灭,故用一个频率为2 的脉冲与控制黄

灯的输出信号用一个与门连进来,再接黄灯。

图 1-8

《五》 仿真过程与效果分析

1、根据题目的要求,整个交通灯控制系统需要有4 个时间显示器,10 个交通灯。但由于 4 个时间显示器是由同一个倒计时计数器控制,所以我在设计图 1-8 电路的过程中,为了简化电路使画图看起来更加清晰,就只接了1 个时间显示器。

另外由于人行道的红绿灯跟车道的红绿灯是同步的,分别是:东西方向人行道的绿灯接车道的红灯,红灯接南北方向车道的红灯;南北方向人行道的绿灯接车道的红灯,红灯接车道的红灯。所以在图1-8 电路中就只接了6 个灯。

2、为了使电路更加直观,我把计数器、信号灯灯转换器等放在一个名为main 的子电路中。然后再在子电路外面接输入端和输出端。

3、点击启动按钮,然后再打开总开关,便可以进行交通灯控制系统的仿真,电路默认把通车时间设为45 秒,打开总开关,东西方向车道的绿灯亮,人行道的红灯亮;南北方向车道的红灯亮,人行道的绿灯亮。时间显示器从预置的 45 秒,以每秒减 1,减到数 5 时,东西方向车道的绿灯转换为黄灯,而且黄灯每秒闪一次,其余灯都不变。减到数 1 时,1 秒后显示器又转换成预置的45 秒,东西方向车道的黄灯转换为红灯,人行道的红灯转换为

绿灯;南北方向车道的红灯转换为绿灯,人行道的绿灯转换为红东西方向灯。如此循环下去。

4、修改通车时间为其它的值再进行仿真(时间范围为6~98 秒),效果同3 一样,总开关一打开,东西方向车道的绿灯亮,时间倒计数 5,车灯进行一次转换,到0 秒时又进行转换,而且时间重

置为预置的数值,如此循环。

《六》体会总结

1、通过这次课程设计,加强了我动手、思考和解决问题的能力。

在整个设计过程中,我总共想过两个方案,另一个方案弄了两天,结果总是实现不了题目的要求。所以我又花了一天的时间做出这个方案,这个相对另一个方案比较简单,包括电路原理和连接,和芯片上的选择。这个方案总共只用了四个芯片,分别为 2 个74190 计数器,2个 JK触发器。

2、在设计过程,经常会遇到这样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了。所以这几天不管是吃饭还是睡觉,脑子里总是想着如何解决这些问题,如何想出更好的连接方法。不过说也奇怪,整天想着这些问题,脑子和身体却一点都不会觉得累。或许是那种渴望得到知识的欲念把疲劳赶到九宵云外去了吧!

3、我沉得做课程设计同时也是对课本知识的巩固和加强,平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功时看课本,这次看了,下次就忘了,主要是因为没有动手实践过吧!认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。故一个小小的课程设计,对我们的作用是如此之大。《七》

参考文献 [1] 周常森,《电子电路计算机仿真技术》,山东科技出版社,2000 [2] 周正新,《电子设计自动话实践与训练》,中国民航出版社,1988 [3] 吴培明,《电子技术虚拟实验》,机械工业出版社,1999 [4] 钟问耀,《EWB电路设计入门与应用》,清华大学出版社,2000 [5] 康华光,《电子技术基础》,高等教育出版社,2000 [6] 刘润华,《现代电子系统设计》,石油大学出版社,1998 [7] 邱关源,《电路》,高等教育出版社,1999 [8] 陆 坤,《电子设计技术》,电子科技大学出版社,1997 [9] 姚福安,《电子电路设计与实践》,山东科学技术出版社,2002 [10] 何书森、何华斌,《实用数字电路与设计速成》,福建科学出版社,2000 [11] 童诗白、徐振英,《现代电子学及应用》,北京高等教育出版社,1994

第三篇:数字电路课程设计报告—交通灯

数字电路课程设计

--交通灯控制器的设计

院系:

姓名:

指导教师:

完成日期:2011年6月7日

数字电路课程设计

--交通灯控制器的设计

一、课程设计目的

1.熟悉集成电路的引脚安排

2.掌握各芯片的逻辑功能及使用方法。

3.了解数字交通灯控制电路的组成及工作原理 4.学会用仿真软件对设计的原理图进行仿真。

二、设计要求及原理:

要求:设计一个主要街道和次要街道十字路口的交通灯控制器。主要街道绿灯亮6s,黄灯亮2s;次要街道绿灯亮3s,黄灯亮1 s。依次循环。当主要街道亮绿灯和黄灯时,次要街道亮红灯(8s),当次要街道亮绿灯和黄灯时,主要街道亮红灯(4 s)。用MG,MY,MR,CG,CY,CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。

原理:根据设计要求可知,各灯状态转换的周期为12s,因此可设计一个12进制的加计数器,来控制秒数,当计数值达到1011时,通过反馈置数法,将计数器清零,从而达到循环效果。列出每秒各灯亮的情况的真值表,通过真值表得到相应的逻辑图,便可实现对交通灯的控制。

三、设计步骤:

1、根据设计要求列出交通灯控制器的真值表如下:

交通灯控制器真值表:

QD QC QB QA MG MY MR CG 0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 1 1 0 0 0 0 1 1 0 0 1 0 0 0 1 1 1 0 1 0 0 1 0 0 0 0 0 1 1

CY

0 0 0 0 0 0 0 0 0 CR 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 0 0 0 1 1 1 1 0 1 1 0 0 1 1 1 0 1 0 1 0 1 0 0 0 X X X X 0 0 0 X X X X 1 1 1 X X X X 1 1 0 X X X X 0 0 1 X X X X 0 0 0 X X X X

2、从元器件库中拖出逻辑转换仪,根据交通灯控制器的真值表,获得MG的最简逻辑表达式。同理,求出MY,MR,CG,CY,CR的最简逻辑表达式如下:

MYB*C MRD

CGDBDA CYABD CRD MGDCDB

3、利用逻辑转换仪将MG,MY,MR,CG,CY,CR由最简式转换成下图所示逻辑图:

4、将MG,MY,MR,CG,CY,CR逻辑图进行元件封装,得到下图所示封装元件,并将各元件按下图方式连接。

(图一)

5、将连接好的电路再进行整体封装,得到下图2所示的元件:

(图二)

6、将74LS163和上面生成的元件进行下图所示连接,便得到了一个完整的交通灯控制电路。其中,~LOAD是置数端。CLK接一秒方波。逻辑分析仪时时分析交通灯输出状态。

四、仿真结果分析:

时钟频率设置为1Hz时,逻辑分析仪显示各点波形如下:

本次试验基本达到设计要求:与仿真校对时间相比,主要街道绿灯亮6s,黄灯亮2s,红灯4s;次要街道绿灯亮3s,黄灯亮1 s,红灯8s,依次循环。但是,实验中还存在一点瑕疵,当主要街道MG灯亮时,次要街道亮灯由CR转换到CG时CY灯有短暂的闪亮,分析其原因,应该是仿真过程中,电路的某一部分有延时,引起了计数器计数的瞬间非法脉冲,或者计数器本身计数跳变时存在中间态,而导致的。

五、参考文献:

①《电子技术基础》(模拟部分)康华光主编 华中理工大学电子学教研室

②《电子技术基础》(数字部分)康华光主编 华中理工大学电子学教研室

③《电子技术试验与课程设计》 毕满清主编 机械工业出版社

六、设计心得:

这次的课程设计可谓是历经波折,面对着多选的题目,不知道该选哪个好,起初试图性的做了抢答器的设计,但由于对涉及到的大多芯片功能及引脚作用不甚了解,对数电的知识也忘了很多,只进行了一小步就没办法再继续下去了,只好转而进行交通灯控制器的设计。在设计交通灯控制器的过程中,也碰到了很多问题,不断的查错,不断的修改甚至重新画电路图,不断的查阅相关资料,来解心中的疑惑,最终,完成设计任务。

通过本次课程设计,使我明白了做事应该要有毅力,要敢于面对各种难题。加强了我动手、思考和解决问题的能力,虽说过程有点艰辛,但让我不仅温习了数电知识,对书本上的知识有了更深入的理解,也从相关资料中额外的学到了很多东西。因此,这次的课设,总得来说,算是蛮成功的。

第四篇:数电课程设计+数字电路课程设计

电子电路课程设计

红 外 遥 控 器

院系:

专业:电气工程及其自动化班级:05电气(2)班 姓名:

学号:0520010221

目录

(一)概述………………………………(2)

(二)设计任务和要求…………………((三)元器件选择………………………((四)方案设计及其原理………………((五)总述及心得………………………((六)参考文献…………………………(2)3)3)5)6)

红外遥控器

一 概述

目前,遥控电路在我们的生活中已经运用得越来越广泛。生活中,从电视遥控器到空调遥控器,从电冰箱遥控器到计算机遥控器,无一不把人们从以前的繁杂的手动操作解放出来,甚至是在我们现代生活平时最广泛的手机应用中也出现了遥控操作。不得不承认,遥控装置已经日益在社会生活中占据更大的比重,因此,我们对遥控电路进行研究和设计是很有必要的。在此次电子电路课程设计中,我之所以选择了对遥控器电路进行设计,也正是由于遥控设备的重要性在社会生活中越来越多的突显出来,遥控电路的设计就目前我们大学生来讲还是比较有难度的,我觉得这是一个不错的自我挑战的机会。而且,我一直以来都对遥控电路感兴趣,我相信这一定能够给我今后的学习更多的帮助。二 设计任务和要求

下面,我就对相对较简单的对一个电机进行控制的双路红外遥控器的电路设计的要点等进行一番阐述:

1.外遥控器电路设计要点:

一个性能良好的红外发射和接收电路需要考虑四方面要求。

第一,须具有良好的抗环境干扰性能,因为往往使用遥控设备的周围环境都是相对比较复杂的,这主要表现在目前遥控设备多作为家用,而家庭环境里各种复杂的电器分布密度是很大的,必须要考虑到其它电器设备的干扰。

第二,是能够进行遥控动作的空间范围达到5m以上距离。这样一来,遥控操作时就避免了很多不必要的麻烦。

第三是避免不必要的误控制。

第四是良好的发射电路要有一个与之相适应的接收处理电路。要达到这四方面要求就要对36—42KHz的方波信号对编码信号进行调制处理。由于这里设计的红外遥控电路只实现两路控制,所以编码信号采用频率单一的方波信号。

2.关于红外遥控系统

通常红外遥控系统由发射和接收两部分组成,如图1所示。

在发射电路原理框图中,方波发生器1用两个开关控制产生两个不同频率的方波,方波发生器2产生36—42KHz的调制波形。两个方波信号经放大器放大后驱动红外管发射红外光。

如图2所示,在接收电路原理框图中,红外接收部分接收到红外信号后,通过译码电路译码驱动电机正转和反转。

三 元器件选择

在所设计的电路中,因为要涉及到编码、红外传输以及译码等环节,因此,在电路中,采用了四个二输入的与非门、不同大小的电阻电容若干、红外发光管、译码IC、电机等元器件,其中,在红外信号的发射电路中,不同的电阻电容的组合就产生不同的频率的方波,从而驱动红外发光管发出红外信号。四 方案设计及其原理

1.电路原理图设计及工作原理(1)发射电路原理图及工作原理

电路原理图如图3所示,设计思想如下:

由于所设计的红外遥控电路控制电机的正转和反转,所以要求发射和接收电路都要有两种工作状态,即要求发射电路能够产生两个频率发射出去,在电路图上用两个开关来实现的。20K的可调电阻辅助27K的电阻使发射电路产生36—42KHz的调制波形。

而发射电路的工作原理分析如下:

图3中,四个与非门采用集成芯片CC4011四二输入与非门。采用此集成芯片的好处是其外围电路简单,容易起振。CC4011与非门本身就带有与门电路,这样就克服了与门电路性能差的缺点。当合上开关Kl—2时,47O千欧电阻与2000PF电容产生大约310.lHz频率的方波;合上开关K2—2时,910千欧电阻与2000PF电容产生大约151.2Hz的方波,以上两个频率方波是通过F1、F2两个与非门实现的。F3、F4与外围元件产生36—42KHz的调制波形。两种波形通过放大电路后,即可驱动红外发光管发出红外线遥控信号。

如图3所示的电路工作在3v电源下,因此电源部使用两节1.5v电池代替即可,也方便延长遥控距离。而0.1uF的电容则起到滤波的作用,10欧的电阻则用作控制发射管电流的大小。

(2)接收电路原理图及其工作原理

电路原理图如图4所示:

本电路采用LM567音频译码IC来进行设计,电路每接收到一次遥控信号,LM567的8脚就翻转低电平。但在未接到遥控信号时,由于LM567的8脚输出常态高电平,四个三极管的基极电位都为高电位,没有形成电位差,电机不会转动。而当电路接收到一路遥控信号时,被其中一个音频译码器选中,次音频译码器8脚为低电平,电流通过BG1和BG2,被BG4翻转成高电平,BG2不工作。另一个译码器没有接收到遥控信号,8脚为常态高电平,电流流经BG3、BG4后在BG4处翻转,BG3不工作,这样就使BG1和BG4之间形成电位差驱动电机转动。同理,当另一个译码器选中发射电路发出的红外遥控信号后,8脚翻转成低电平,使BG3和BG2工作形成高低电位差驱动电机向相反的方向转动。两个过程刚好实现了电机的正转和反转。五 总述和心得

在以上设计的电路中,它实现了遥控电路对被控对象的两种功能控制。在此基础上,还可以设计更加复杂的多路红外遥控器。

通过此次的电子电路课程设计,使我清楚地认识到,仅仅学习课本上的专业知识是不够的。电子电路课程设计所要求的,是在实际问题中

第五篇:数字电路课程设计

一、设计报告书的要求: 1.封面

2.课程设计任务书(题目,设计要求,技术指标等)

3.前言(发展现状、课程设计的意义、设计课题的作用等方面)。3.目录

4.课题设计(⑴ 写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。

⑵ 画出框图中的各部分电路,对各部分电路的工作原理应作出说明。⑶ 画出整个设计电路的原理电路图,并简要地说明电路的工作原理。⑷ 用protel画原理电路图。

(5)用Multisim或者Proteus画仿真图。

5.总图。

6.课题小结(设计的心得和调试的结果)。7.参考文献。

二、评分依据:

①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原理说明是否基本正确,⑤报告是否清晰,⑥答辩过程中回答问题是否基本正确。

三、题目选择:(三人一组,自由组合)(设计要求,技术指标自己选择)

1、基于DC4011水箱水位自动控制器的设计与实现

水箱水位自动控制器,电路采用CD4011四与非门作为处理芯片。要求能够实现如下功能:水箱中的水位低于预定的水位时,自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水,始终保持水箱中有一定的水,既不会干,也不会溢,非常的实用而且方便。

2、基于CD4011声控、光控延时开关的设计与实现

要求电路以CD4011作为中心元件,结合外围电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态,当有人经过该开关附近时,脚步声、说话声、拍手声等都能开启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭,灯灭。

3、基于CD4011红外感应开关的设计与实现

在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。

4、基于CD4011红外线对射报警器的设计与实现

设计一款利用红外线进行布防的防盗报警系统,利用多谐振荡器作为红外线发射器的驱动电路,驱动红外发射管,向布防区内发射红外线,接收端利用专用的红外线接收器件对发射的红外线信号进行接收,经放大电路进行信号放大及整形,以CD4011作为逻辑处理器,控制报警电路及复位电路,电路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。

5、基于CD4069无线音乐门铃的设计与实现

音乐门铃已为人们所熟知,在一些住宅楼中都装有音乐门铃,当有客人来访时,只要按下门铃按钮,就会发出“叮咚”的声音或是播放一首乐曲,然而在一些已装修好的室内,若是装上有线门铃,由于必须布线,从而破坏装修,让人感到非常麻烦。采用CD4069设计一款无线音乐门铃,发射按键与接收机间采用了无线方式传输信息。

6、基于时基电路555“叮咚”门铃的设计与实现

用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够发出音色比较动听的“叮咚”声。

7、基于CD4511数显八路抢答器的设计与实现

CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编码,优先,锁存,数显和复位。

8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通过调节电位器旋钮,可调整彩灯的流动速度。

9、水位指示的设计与实现

电路的功能是检测容器内的水位。把探头分别装在容器的底部、中部和顶部。通过3根导线与电路板连接,而3个LED分别代表不同的水位。

10、基于数字电路双向炫彩流水灯的设计与实现

电路由无稳态多谢振荡器、可逆计数器、三八线译码器和发光二极管组成;实现流水灯正反向循环旋转。

11、基于数字电路六位数字钟的设计与实现

设计一款纯数字电路打造的6位数字时钟。数字钟是采用数字电路对“时”、“分”、“秒”数字显示的计时装置。

12、八路声光报警器的设计与实现

八路声光报警器中八位优先编码器CD4532将输入D0~D7的八路开关量译成三位BCD码,经BCD锁存/七段译码/驱动器CD4511译码,驱动共阴极数码管显示警报电路0—7,路输入开关中的任一路开路,显示器即显示该路号,发出数码光报警;同时优先编码器CD4532的GS段输出高电平,使开关三极管饱和导通,启动声报警电路工作。声报警电路由时基集成电路NE555和六反相器CD4069组成。

13、基于CD4060梦幻灯的设计与实现(基于proteus仿真)

设计一款电路,使其具有多种美丽的声光效果,三种颜色的LED随机组合,五彩斑斓,配有生日快乐音乐芯片,闪光的同时有生日快乐音乐播放,蜂鸣器发生,音乐芯片直接可以装到电路板上,备有电源开关,方便控制,可以外接交流电源或电池。可作生日礼物相送。

14、变音警笛电路的设计与实现 设计一款电路,该电路采用两片NE555时基集成电路构成的变音警笛电路,能发出“呜-哇-呜-哇”的警笛声。

15、魔幻LED摇摇棒的设计与制作

“摇摇棒”是一种利用我们的“视觉暂留效应”工作的高科技电子玩具。接通电源后,它上面的一列LED(发光二极管)不停地闪烁,当你摇动它时,会看见空中梦幻般的浮现一个个笑脸、爱心等图案或者文字。“摇摇棒”使用了一块单片机。通过编写程序,在它内部存储若干幅图形和文字,用一只轻触按钮来选择要显示的内容,并且使用一只动作传感器开关来确保显示正常。

16、基于数字电路NE555、CD4017LED骰子的设计与实现

由555组成的多谐振荡器和CD4017十进制计数器/脉冲分配器构成。7个发光二极管模拟骰子的点数,当按下按钮1秒以上,骰子上的发光二极管高速循环点亮,之后循环速度越来越慢并最终随机停止于某个点上。

17、数显计数器的设计与实现

不需要编程的计数器模块,有3个数码管显示,使用14553和14511芯片进行控制驱动。

18、基于555简易催眠器的设计与制作

时基电路555构成一个极低频振荡器,输出一个个短的脉冲,使扬声器发出类似雨滴的声音

19、基于数字电路电动机转速表的设计与实现

在电动机转动时,人眼无法统计电动机单位时间转转的圈数,即使电动机每秒钟只转动几圈,我们也无法准确的数数来得到电动机每分钟的转动圈数。设计一款电动机转速表来计数,最大可以显示999,如果需要显示更大的数字,还可以自行增加CD40110和数码管,每增加一级,计数可增大10倍再加上9。

20、基于CD4011路灯开关模拟电路的设计与实现

从节约用电的角度出发,路灯开关在每天傍晚时全部灯亮,后半夜行人稀少,路灯关掉一半,第二天清早路灯全部关闭。

21、数字秒表的设计与实现

单稳态触发器,时针发生器及计时器,译码显示单元电路的应用

22、基于CD4011声光控带灯头开关的设计与实现

开关选用CD4011集成块为延时电路,选用1A单向可控硅以及性能稳定的光敏电阻和优质的驻极体组成的声光控动作电路

23、基于数字电路两位计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.24、数字频率计的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

25、基于数字电路自动温控报警电路的设计与实现

现实生活中,常常需要进行温度控制。当温度超出某一规定的上限值时,需要立即切断电源并报警。待恢复正常后设备继续运行。设计一款温度控制电路,电路采用LM324作比较器,NE555作振荡器,十进制计数/译码器CD4017以及锁存/译码/驱动电路CD4511作译码显示达到上述要求。

26、基于数字电路两位自动计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.数字上升速度快慢由NE555振荡频率决定.S1为计数清零按键.NE555构成时钟信号发生器,CD4518为二/十进制加法计数器,CD4511为译码驱动器,调节R17可调节NE555的振荡频率.C1为充放电电容,电容容量愈大,充电时间愈长,则振荡频率愈低。

27、基于数字电路数字显示频率计电路的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

28、基于CD4017流水灯的设计与实现

CD4017流水灯由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

29、基于CD4017六路回闪灯的设计与实现 电路通电后,六个发光管先依次点亮,再全部熄灭,然后反方向依次点亮,完成一个循环,接着进行下一个循环。电路由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

30、基于CD4017摩托车闪灯的设计与实现

电路由多谐振荡电路和CD4017构成,实现三组发光管循环显示。

31、基于CD401712路回闪灯的设计与实现

电路由555组成的多谐振荡器和CD4017十进制计数/译码电路构成。

32、基于CD4518/4511数字钟的设计与实现

下载交通灯控制电路设计数电课程设计+数字电路课程设计word格式文档
下载交通灯控制电路设计数电课程设计+数字电路课程设计.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    74LS190交通灯控制电路的设计与仿真课程设计.

    目录 一、课程设计时间 (1 二、课程设计题目 (1 三、设计任务、要求及器件 (1 四、课程设计的电路及工作原理 (2 五、设计中出现的问题 (8 六、心得体会 (8 一、课程设计时......

    数电课程设计——交通灯(范文模版)

    课 程 设 计 课程名称 数字电子技术基础 题目名称 交通灯控制电路学生学院 专业班级 学号学生姓名 邓嘉琦 指导教师2014 年5 月 25 日 一、设计题目: 交通灯控制电路 二、实......

    数字电路课程设计——用VHDL语言设计交通灯(五篇)

    数字电路课程设计报告 院系: 电气信息工程学院 班级: 08测控2班 学号: 08314237 姓名: 董 亮 合作: 虞 波 指导教师: 翟 丽 芳 2010年12月10日 第 0 页 共 17 页 目 录 引言··......

    交通灯单片机课程设计

    《单片机原理与接口技术课程设计报告》 课题:以交通灯为主的多功能任务设计 班级 学号 学生姓名指导教师 淮阴工学院 电子与电气工程学院 2015-6 1 目录 一、 课程设计目......

    PLC交通灯课程设计

    PLC的定义及工作原理 ⒈定义:可编程控制器,简称PLC(Programmable logic Controller),是指以计算机技术为基础的新型工业控制装置。“PLC是一种专门为在工业环境下应用而设计的数......

    数字电路课程设计——数字钟

    四川工业科技学院 电子信息工程学院课程设计 专业名称:电子信息工程 课程名称:数字电路课程设计 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月......

    数字电路课程设计教学大纲

    数字电路课程设计 一、 目的与任务 数字电路课程设计是数字电子技术课程重要的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一个或两......

    数字电路课程设计(5篇)

    数字电路课程设计要求: 1. 结合所学知识设计一简单实用电路(建议选多功能数字钟),并在实验室里完成实物电路的连接调试。 2. 每人独立完成一篇课程论文,论文至少2000字,可手写,也可......