专题:eda课程设计

  • EDA 课程设计

    时间:2019-05-15 11:45:10 作者:会员上传

    《电子系统设计自动化》课程设计报告 学 院: 机电工程学院 题 目: 数字时钟电路设计 课 程: 《电子系统设计自动化》课程设计 专业班级: 电信10级2 班 学生姓名: 刘星 秦玉杰 王

  • 《EDA课程设计》

    时间:2019-05-15 11:45:10 作者:会员上传

    《EDA课程设计》 课程设计题目: 基于单片机的温湿度采集系统 姓 名: xxx 学班时地 号:xxxx 级: xxxx 间:2014.4.21~ 2013.5.5 点: xxxxx 指 导老师: xxxxx 目录 一、电路原理

  • EDA课程设计

    时间:2019-05-15 11:45:10 作者:会员上传

    考试序号:28 自动打铃系统设计说明书 学 生 姓 名:周文江 学 号:14112502521 专 业 班 级:1102 报告提交日期:2013.11.26 湖 南 理 工 学 院 物 电 学 院 目录 一、题目及要

  • EDA课程设计论文

    时间:2019-05-15 11:45:11 作者:会员上传

    目录 一、 摘要 二、 概述 2.1目的与要求 2.2实验仪器与设备 2.3实验注意事项 2.4设计环境 三、 实验内容 四、4位加法器设计实现过程 4.1元件选择 4.2编辑半加器的原理图

  • EDA课程设计时钟

    时间:2021-01-01 08:20:07 作者:会员上传

    EDA课程设计姓名:学号:班级:自动化设计题目多功能数字钟电路设计设计任务及要求多功能数字钟应该具有的功能有:显示时—分—秒、小时和分钟可调等基本功能。整个钟表的工作应该

  • eda课程设计心得体会

    时间:2019-05-12 16:20:12 作者:会员上传

    eda课程设计心得体会 写心得体会是困扰很多人的问题,心中有很多想法,想说却不知道怎么写下来。下面本栏目搜集了eda课程设计心得体会,欢迎查看,希望帮助到大家。 eda课程设计心

  • EDA课程设计专题实践

    时间:2019-05-15 11:45:11 作者:会员上传

    EDA课程设计专题实践 结课论文 题目:出租车自动计费器 专业:电子信息工程班级:电子z1301 姓名:阳家昆 学号:1310910422 一、 设计题目:出租车自动计费器 二、 设计目标:1、掌握出

  • eda课程设计5篇

    时间:2019-05-14 17:16:53 作者:会员上传

    数字钟 一、 设计要求 设计一个数字钟,具体要求如下: 1、 具有时、分、秒计数显示功能,以24小时循环计时。 2、 具有清零、校时、校分功能。 3、 具有整点蜂鸣器报时以及LED花

  • EDA数字钟课程设计

    时间:2019-05-14 21:02:29 作者:会员上传

    课 程 设 计 报 告 设计题目:用VHDL语言实现数字钟的设计 班 级:电子1002班 学 号:20102625 姓 名:于晓 指导教师:李世平、李宁 设计时间:2012年12月摘要 数字钟是一种用数字电路

  • 《EDA课程设计》教学大纲

    时间:2019-05-12 17:19:41 作者:会员上传

    设计四 拔河游戏机 1、 设计一个能进行拔河游戏的电路。 2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、 游戏双方各持一个按钮,迅速地、

  • EDA单片机课程设计大全

    时间:2019-05-15 05:50:20 作者:会员上传

    EDA与单片机课程设计安排 EDA课程设计参考题目 课程设计一:五人抢答器 要求: 1、五人抢答逻辑:只有一个最先抢答有效。 2、在主持人控制下,10秒内抢答有效。 3、采用数码管显示

  • EDA课程设计 电子琴

    时间:2019-05-15 04:49:56 作者:会员上传

    简易电子琴的设计和实现----结题报告 学号:110342241 姓名:章译文 一.设计要求 1.能发出1234567基本音。 2.能自动播放《偶像万万岁》和《梁祝》。 3.能在数码管上显示弹奏时

  • EDA课程设计题目

    时间:2019-05-15 04:40:02 作者:会员上传

    课程设计题目 设计一 数字式竞赛抢答器 1、设计一个可容纳 6 组(或 4 组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答

  • EDA课程设计 数字时钟

    时间:2019-05-14 03:28:19 作者:会员上传

    EDA课程设计报告 一·设计任务 使用硬件描述语言,在CPLD/FPGA上实现一个多功能数字钟。 二·设计要求 除按键、LED、扬声器、时钟信号外,整个数字钟的功能要求在一块芯片上

  • EDA课程设计——数字时钟

    时间:2019-05-13 23:58:01 作者:会员上传

    Hefei University EDA课程综述 论文题目: EDA课程综述专 业: 09通信(2)班姓 名: 唐吉祥 学 号: 0905072035 指导老师: 查长军前 言 随着基于PLD的EDA技术的发展和应用领域的扩

  • EDA课程设计—汽车速度表

    时间:2019-05-15 11:18:05 作者:会员上传

    燕 山 大 学 EDA课程设计报告书 题目: 汽车速度表 姓名: 班级: 学号: 成绩: 一、设计题目及要求 1.设计题目:汽车速度表 2.设计要求: (1)能显示汽车速度,单位Km/h,最高时速小于360

  • EDA课程设计彩灯控制器

    时间:2019-05-15 11:45:11 作者:会员上传

    沈阳理工大学EDA技术课程设计报告 1设计目的 熟练掌握EDA技术利用计算机方面的课程解决专业课程方面点具体问题,达到解决问题,完成课程设计任务,培养实践的目的。 2设计要求

  • eda课程设计-彩灯控制器

    时间:2019-05-15 10:28:25 作者:会员上传

    1.设计目的 学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。 2.设计题目描述和要求 1)设计一个彩灯控制器,使彩灯(LED管)能连续