基于FPGA的CDMA数字基带系统设计

时间:2019-05-12 01:09:30下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《基于FPGA的CDMA数字基带系统设计》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《基于FPGA的CDMA数字基带系统设计》。

第一篇:基于FPGA的CDMA数字基带系统设计

摘 要

随着现代通信技术的发展,特别是移动通信技术的高速发展,CDMA技术越来越被人们所关注。而基于FPGA的CDMA数字基带系统正是一种新兴的具有很大可行性的技术。本文给出了CDMA数字基带收发系统的设计方案,并以Xilinx ISE 8.1为硬件开发平台,利用FPGA实现了4路信息信号的扩频、编码调制和解扩、解调、验证了初始方案的可行性。运用VHDL语言,实现对CDMA通讯系统的上行链路数字部分进行设计,对有关模块的编译,编译通过后的结果,以及使用Xilinx系列芯片通过仿真得到波形,证明了整个系统原理和设计提出的正确性。关键词:CDMA;数字基带;FPGA;Xilinx

目录

1.课程设计目的...............................................................................................................1 2.软、硬件环境介绍.....................................................................................................1 4.CDMA基带传输系统简介..........................................................................................2 5.CDMA基带系统设计....................................................................................................2

5.1 系统设计平台...............................................................................................................2 5.2 CDMA系统设计原理......................................................................................................2

6.系统总体设计...........................................3

6.1 CDMA的整体设计框图..................................................................................................3 6.2 利用VHDL语言编程实现的分频电路模块.................................................................4

7.本系统完成的功能.......................................4

7.1 Walsh码发生器............................................................................................................4 7.1.1 生成Walsh码调制(地址编码)的模块图...........................................................5 7.2 PN码、信息码发生器..................................................................................................5 7.2.1 生成PN扩频的模块图.............................................................................................5 7.3 调制与解调...................................................................................................................6

8.程序代码..............................................7

8.1 分频器模块...................................................................................................................7 8.2 PSK模块........................................................................................................................7 8.3串并转换模块................................................................................................................8

9.仿真调试与结果.........................................9 10.设计心得体会.........................................11 11.参考文献.............................................12

1.课程设计目的

《应用电子技术综合实训》是电子信息工程专业技术教育的重要实践教学环 节,对学生掌握基本理论、运用基本知识、训练基本技能和达到技术教育培养目标的要求有着十分重要的意义和作用。通过对具体应用电子电路的设计和开发过程的练习,加深学生对基础理论的理解,掌握设计电路、开发电路和实现电路的能力,能熟练应用开发软件。培养学生独立思考、解决实际工程问题的能力,为专业理论知识的学习和专业技能训练打好坚实的基础。

2.软、硬件环境介绍

1.软件环境:Windows XP操作系统、Xilinx ISE8.1 EDA集成开发环境; 2.硬件环境:个人计算机一台

3.Xilinx ISE8.1 EDA集成开发环境介绍:

ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。相对容易使用的、首屈一指的PLD设计环境。ISE将先进的技术与灵活性、易使用性的图形界面结合在一起,能在最短的时间,以最少的努力,达到最佳的硬件设计。

Xilinx公司的ISE开发设计软件的工程设计流程,具体分为五个步骤:即输入(Design Entry)、综合(Synthesis)、实现(Implementation)、验证(Verification)、下载(Download)。4.Xilinx公司介绍:

Xilinx(赛灵思)是全球领先的可编程逻辑完整解决方案的供应商。Xilinx研发、制造并销售范围广泛的高级集成电路、软件设计工具以及作为预定义系统级功能的IP(Intellectual Property)核。客户使用Xilinx及其合作伙伴的自动化软件工具和IP核对器件进行编程,从而完成特定的逻辑操作。Xilinx公司成立于 1984年,Xilinx首创了现场可编程逻辑阵列(FPGA)这一创新性的技术,并于1985年首次推出商业化产品。眼下Xilinx满足了全世界对 FPGA产品一半以上的需求。Xilinx产品线还包括复杂可编程逻辑器件(CPLD)。在某些控制应用方面CPLD通常比FPGA速度快,但其提供的逻辑资源较少。Xilinx可编程逻辑解决方案缩短了电子设备制造商开发产品的时间并加快了产品面市的速度,从而减小 了制造商的风险。与采用传统方法如固定逻辑门阵列相比,利用Xilinx可编程器件,客户可以更快地设计和验证他们的电路。而且,由于Xilinx器件是只需要进行编程的标准部件,客户不需要象采用固定逻辑芯片时那样等待样品或者付出巨额成本。Xilinx产品已经被广泛应用于从无线电话基站到DVD播放机的数字电子应用技术中。

4.CDMA基带传输系统简介

CDMA(Code Division Multiple Access)是在数字通信技术的分支扩频通信的基础上发展起来的一项技术。它主要用于利用相互正交(或者尽可能正交)的不同编码分配给不同用户调制信号,实现多用户同时使用同一频率接入系统和网络的通信。本文针对 CDMA 码分多址技术设计1个4路用户传输系统 , 省去了载波调制部分 , 把 CDMA扩频系统中传输的信号简化为数字基带信号 , 并做出一个与之相应的扩频编码调制收发系统,系统采用Walsh 函数正交码作为地址码调制原数据信号 , 选用m序列作为扩频的伪随机码。

5.CDMA基带系统设计

5.1 系统设计平台

开发工具软件采用Xilinx公 司 的 EDA 工 具Xilinx ISE。利用 ISE中提供的编程语言设计方法和图形设计方法实现系统各部分的功能。

5.2 CDMA系统设计原理

CDMA技术基于扩频通信的基本原理,将要传送的具有一定信号带宽的信息数据,用一个带宽远大于信号带宽的高速伪随机编码信号去调制它,使原信息数据信号的带宽被大大扩频,再经载波调制后发射出去。接收端则使用完全相同的伪随机码,与接收的带宽信号做相关处

理,把宽带信号变换成信息数据的窄带信号(解扩)实现数据通信。这种扩频通信的明显特点是采用编码调制、频谱扩频和相关处理技术。使用扩频编码调 制易于保密也可供多用户使用。另外,对信息数据的频谱扩展,使功率谱密度明显降低,既不容易被别人发现又不容易干扰别人。CDMA通信多址干扰的大小决定于扩频编码间的互相关值,如果该值非常小乃至可以忽略,那么接收调解输出结果就只有原数据信号和噪声。所以CDMA可在同一载波频率上同时传送多个用户的信息、数据、实现多址通信。编码之间的互相关值越小,多址通信用户就越多。

6.系统总体设计

6.1 CDMA的整体设计框图

整体框图如图 1 所示。

说明:图 1 中“→”表示信号是1路, “→”表示信号是 4路。触发时钟 : 该收发系统受同步时钟控制,在全局时钟的基础上进行分频,详见表1。

表 1 触发时钟分频

图1 CDMA基带收发系统概念框图 6.2 利用VHDL语言编程实现的分频电路模块

图2.实现的多分频模块图

7.本系统完成的功能

本收发系统主要由调制器和解调器两部分组成。它们完成的功能如下:(a)调制器:内嵌于编码器中的信息信号发生器产生的 4 路输入信号,经 Walsh 调制、PN 扩频、基带求和与并串变换成为 1 路信号,完成调制。

(b)解调器:接收端将收到的 1 路信号首先进行串并变换,在取得同步的基础上进行 PN 解扩和 Walsh 解调,恢复出 4 路输入信息。

7.1 Walsh码发生器

Walsh函数是一种非正弦的完备正交函数集。由于它采用的是数字系统,比较适合于用来表达和处理数字信号。考虑到是数字基带系统 , 本系统采用的 Walsh 码组应为:

W1={1,1,1,1};W2={1,0,1,0};W3={1,1,0,0};W4={1,0,0,1}。

该发生器利用 ISE 编程实现较简单,在 Walsh码时钟(全局时钟的 24 分频)的控制下,每出现一次时钟跳变事件,输出端以4为周期依次输出1111,1010,1100和1001的码序列。

沃尔什(Walsh)码是正交码,经常被用作码分多址系统的地址码,Walsh码产生模块作为扩频传输的地址码,并且具有检测使用中的两路Walsh码是否正交 的功能。本设计中使用Walsh,存储在发射端数据寄存器中,扩频过程中根据 Walsh码的地址控制信号。抽取两路 Walsh 码分别对两路消息码进行扩频。接收端截获串行的数字信息流后,从接收端的数据寄存器中取出 Walsh 码对接收信号进行解扩。Walsh 码电路的设计思路运用了译码的原理来实现。

7.1.1 生成Walsh码调制(地址编码)的模块图

Walsh 码调制所生成的模块图为图3所示。

图3 Walsh码调制模块图

Walsh 码调制的仿真图为图 4所示。

图4 Walsh码调制仿真结果图

7.2 PN码、信息码发生器

利用7个D触发器相互级联实现周期为 127 的 PN 序列。具体构成依据 m 序列特征多项式 , 由 ISE提供图形设计方法实现。PN 码时钟为全局时钟的 3 分频。信息码的产生则只需在信息码时钟的控制下 , 从同样的级联中引出 4 路信号作为信息码 , 信息码时钟为全局时钟的 96 分频。

7.2.1 生成PN扩频的模块图

由 PN 扩频所生成的模块图为图5所示。

图5 PN 扩频所生成的模块图

7.3 调制与解调

在数字基带系统中,Walsh 码调制可以简单地用同或门来实现,而PN扩频则可通过简单的异或门实现。在实现 Walsh 码解调与解扩时 ,PN 解扩只需在接收端加 1 异或门。下面着重介绍一下 Wlash 码解调的方法———相关检测。

相关检测原理举例解释(见表 2)。

表2相关原理检测举例解释

Walsh调制码即原信息码与Walsh 码同或 , 所得 4 路码统计所得 1 的个数并转化成相应 3 比特并行码 , 例如表中 Walsh编码的第 1 列转化为010,第2列为100。在接收端则根据传递来的接收信息逐路进行相关检测。相关检测 规则列于表 3 中。

表3 相关检测规则 8.程序代码

8.1 分频器模块

module clk_div(clk_in,rst_n,clk_out);parameter cnt_top=10;clk_in/clk_out=cnt_top; parameter width=4;parameter duty=50;input clk_in;input rst_n;output clk_out;reg clk_out;reg [width-1:0]cnt;always@(posedge clk_in or negedge rst_n)begin if(!rst_n)cnt<=0;else if(cnt==(cnt_top-1))cnt<=0;else cnt<=cnt+1'b1;end always@(posedge clk_in or negedge rst_n)begin if(!rst_n)clk_out<=0;else if(cnt<(cnt_top*duty)/100)clk_out<=1;else clk_out<=0;end endmodule 8.2 PSK模块

module pskmod(clk,rst,seq,in,out);input clk,rst,seq;input [7:0] in;output [7:0] out;reg [7:0] out;always @(posedge clk or negedge rst)begin if(!rst)out=0;else begin if(seq)out=in;else out=~in;end end endmodule 8.3串并转换模块

module ser2par2(clk,rst,in,out,flag);//serial to parallel input clk,rst;input in;output flag;output [3:0]out;reg [3:0]out;reg [1:0]cnt;reg flag;always @(posedge clk or negedge rst)begin if(!rst)begin out=0;end else begin case(cnt)2'b00:out[0]=in;2'b01:out[1]=in;2'b10:out[2]=in;2'b11:out[3]=in;default:out[0]=in;endcase end end always @(posedge clk or negedge rst)begin if(!rst)flag=0;else begin cnt=cnt+1;if(cnt==2'b11)flag=1;else flag=0;end end endmodule 9.仿真调试与结果

点击processes框下的modelsim simulator中Simulation Behavioral Model,调用Modelsim对模块进行仿真。(注,同一时刻仅能有一个modelsim打开)。双击后调用modelsim,在弹出的modelsim界面中,已有部分仿真结果,如图6所示。

图6 软件仿真界面

在transcript框中输入“restart”,在弹出的对话框中选ok。各端口信号 清空,如图7所示。

图7 清空端口信号 在transcript框中输入命令,run 10us。仿真运行10us。查看仿真功能。验证程序设计正确性。由于数字信号不易查看输出信号的变化,因而可在输出波形窗口对应信号上单击右键,选择format->analog(automatic), 得到模拟信号波形进行观察,如图8所示。

图8 波形仿真结果

10.设计心得体会

通过这次课程设计,我深刻的体会到企业局域网建设是一项涉及面广、技术复杂、周期比较长的系统工程。它既是建设一个集计算机网络与各类信息的搜集、传递、处理、加工为一体的信息枢纽中心,又是一项为企业的生产、经营、产品开发及领导决策服务的综合工程。设计一个好的局域网需要有清晰的思维和整体布局的能力,总体说来还是一个比较难的工作。

在这次课程设计过程中,通过查找相关书籍和相关资料,也使得自己增长了不少知识。从这次课设我充分认识到自己相关网络知识的缺乏,虽然也用了很长时间但设计方案还是缺乏一定的可行性。在这次课程设计过程中我也认真阅读了相关书籍,对局域网有了一定的了解,所以说这次课设我还是收获不小。11.参考文献

[1]李栋.多进制扩频系统的关键技术的研究[D].西安:西安电子科技大学出版社,2001.[2]胡兵.自适应阵在码分多址(CDMA)中的应用[D].西安 :西北工业大学,2001.[3] 李畅怡.基于扩展频谱和CDMA的数字视频移动通信研究[D].长沙 :湖南大学,2001.[4] 常娥.突发性扩频信号的同步技术研究[D].大连 :大连理工大学,2003.[6] R.C.Dixon, Spread Spectrum Systems[M].New York: John Wiley & Sons, 1976.[5] 邬民莺.IS-95 CDMA 前向链路的研究与仿真[D].大连 :大连海事大学出版社 , 2003.

第二篇:手机基带设计小结2017

BB设计小结

一. 基带芯片:

基带芯片可以分为数字和模拟两部分。

1.数字部分的设计要点概括如下:

1)注意所连接信号的电平电压值,这个电压值与芯片上其对应模块的供电电压相同,可以根据SPEC查出工作电压要求。当两个器件之间连接出现信号电平不匹配的问题时(比如一个芯片为2.8V,另一个为1.8V),可考虑在其间添加电平转换芯片。

2)知道常用的总线通信种类和连接方法,比如IIC、USB、SPI、UART、并口等,这些总线的连接方法和时序关系在所用芯片的SPEC中都会有详细的讲述。设计时需要特别注意。

3)BB电路数字部分使用最多的就是GPIO,设计前需要特别关注下GPIO的特性,比如是否带有内部上下拉电阻,输入输出口的状态等等。GPIO口常用作芯片的使能信号,如果使能信号为低电平有效,则一般选用内部带下拉的GPIO。

2.模拟部分的设计要点:

基带中常见的模拟信号有音频、ADC采样等。与数字电路用高低电平表征信号不同,模拟信号是连续的变化的,其对噪声很敏感,特别是在模拟信号本身较弱的情况下,轻微的噪声都会改变其信号的特征,所以在电子学中有信噪比这一指标,就是针对模拟信号而言的。在手机设计中要特别注意模拟信号的保护。

二.电源:

手机上电源部分一般有如下几个部分:CHARGER IC、LDO、DC-DC、CHARGE PUMP,其工作原理这里就不讲解了。设计时需要注意的一些事项:

1.当输入电压和输出电压值相差较大,且工作电流很大时,LDO的效率很低,选用LDO不是一个很好的选择,这是可以考虑选用降压的DC-DC.2.DC-DC需要外接电感,电感的充放电容易引入电磁干扰,一般选用带有磁屏蔽的电感。3.保证电源输出电压“干净”,在电源输出网络上增加滤波电容

4.注意在芯片的电压引脚添加去耦电容,这个值一般为0.1uF、1uF,在一些供电电流比较大的电压接口,还需要添加2.2uF以上的大电容,作为电量的暂时储备。

5.慎用PWM控制方式,LCD背光驱动芯片的控制偏向使用数字脉冲调光方式。

三.各模块设计:

1.LCD接口:

常见的LCD接口有如下几种 :

并口:8080并口(有WR,RD);事例D2000 6800并口(有RW,E);这种不太常见 串口:SPI;

I2C;这两种方式的通信速率比较低,一般用于低端LCD上,事例D900。

RGB接口:SPI用于指令传输,RGB用于数据传输,VSYNC,HSYNC,DOTCLK,ENABLE为数据传输的同步信号。事例NEO。

LCD有两个电源接口,模拟电源VCC和接口/数字电源IOVCC, VCC用于LCD显示电路供电,电压值一般比较固定(否则显示不正常,升压电路工作异常),IOVCC为数字部分供电电压,其值需要根据所选平台的LCD接口电压来定。

LCD设计时需要根据其内部背光LED的连接方式选用合适的背光驱动,LED为串联方式,需要选用DC-DC方式的背光驱动芯片;LED为并联方式,这种方式又分为共阴和共阳两种,这种方式一般选取带内部电流源的背光驱动,如果产品比较高端些,背光驱动芯片还会带有CHARGE PUMP电路,当电池电压降低时,内部升压电路工作,防止电池电压降低导致LED灯变暗。

LCD的接口有8位,9位,16位和18位,需要通过一定的外部电平置位来选择对应的接口方式。

在LCD显示时有一个数据传输速率的问题:比如我们LCD为26K色,240*320,则每个像素需要的数据量为18BIT,刷满一帧需要的总数据量为18*240*320,如果用18位总线传输,则传输一帧每位总线传输的数据量为18*240*320/18,因为人眼的视觉暂留现象,至少需要每秒刷24帧的图像,这样,每条数据线上的数据量就为18*240*320/18*24,也可以表示为18*240*320/18*24 BIT/S。

注意LCD接口的ESD防护,如果是高端机,因为射频环境比较复杂,还需要增加EMI滤波。

2.CAMERA接口:

LCD接口设计和调试学习下如下文档

Camera Module Hardware Application

如果手机具有CAMERA,则需要把LCD和CAMERA连接同一个芯片上。

3.振动器电路:

不同平台的振动器控制电路是不同的,如果没有专门的控制接口,则需要用晶体管或MOS管来实现开关控制,有一点需要提出注意,就是在振动器两端一定要反接一个续流二极管,导通时间短、正向压降低的肖特基二极管可以满足要求。

4.USB接口:

需要注意USB的通信速率,满足1.1还是2.0的标准,以用来选择所并接的ESD器件,速率越高,所选的ESD的寄生电容的值越小,防止数据信号波形畸变。在一些高端机上面,比如NEO中AP和BP都需要接出USB接口,这时候就需要一颗USB切换开关,这时同样需要注意开关的适用速率范围。

5.耳机接口:

耳机接口有三部分:插入检测、语音电路和MIC电路。一般的耳机插入检测是通过检测耳机插入前和插入后的电平变化来判断是否有耳机插入,可以结合具体的原理图分析下。语音电路和MIC电路比较简单,按平台参考设计的推荐电路就可以。需要关注语音输出的信号是否具有直流偏置,如果有则需要在输出前端加上隔直电容;另外,不同平台的耳机关断检测也有不同,有电压检测(VIA)也有电流检测(高通),在看SPEC时需要重点关注下。

6.键盘电路:

键盘电路一般为矩阵结构,BB芯片上有对应的行列接口,不同的平台键盘的检测原理不同,需要结合具体的SPEC了解。键盘接口容易受到静电干扰,需要在键盘按键部位增加ESD器件,ESD器件并接在每个行、列控制线上。因为封装的不同,侧键的设计一般和键盘阵列分开。键盘灯电路,典型的键盘灯电路的结构如下。通过三极管的导通截止实现LED灯的开关控制,限流电阻的值需要根据所选LED的V-I特性来定,一般设计时计算一个理论值,等到调试时,根据实际的情况做些调整。这种电路的的缺点是;随着电池电量降低,灯也会变暗。我个人感觉,可以用一颗LDO作为驱动电路。

7.触摸屏电路:

我们常用的触摸屏一般为四线电阻式的,通过检测触笔端的电压,来实现触点的定位。如果采样的电压受到干扰,导致电压上下有波动,如果波动范围较大,则会导致取样的不准确,出现位置漂移现象。所以在摆件和走线时应避开周围强干扰的器件,尤其是高速信号线。接口的连接,按照对应的X+/-、Y+/-连接就好。

8.音频电路:

这部分可以参考之前写的音频功放的选择分类一文。

9.T-FLASH卡:

T卡的电路需要注意的是上拉电阻的选择,这部分可以参考T卡的协议。另外,有的T卡需要有热插拔功能,则需要加入一个插入检测电路,其实现的原理同耳机插入检测,都是利用电平的变化。带热插拔功能的卡槽上会有相应的检测脚,检测电路连接到上面就可以。

四.PCB摆件、走线:

具体参考纳讯PCB设计文档和发板评审文档。

五.调试测试:

调试指导思想:在出现问题时,要首先排除硬件的原因,这点需要明确,因为只有在保证硬件没有问题的条件下,才能去排查软件的问题。千万不能推诿责任。

1.明白调试的目的,知道调试模块的工作原理;

2.芯片不正常工作,需要测量芯片的上电时序,这一点尤为重要。上电时序不当,器件不能正常工作,有时还会导致芯片损坏(LP3918烧片)。测量的时序是否与芯片SPEC中描述的相符,确保时序的正确性。

3.芯片总线通信不正常,需要抓取总线波形,能看懂波形,通过跟软件的同事沟通,找到解决问题的办法。4.测量系统功耗,具体可以参考功耗测量文档,测得工作和待机状态下模块的电压,电流值,通过与SPEC中相应的参数比较,衡量芯片是否工作正常,不正常提报软件。

5.详细记录每次实验的数据,测试完成后比较整理。

第三篇:数字逻辑与数字系统设计教学大纲

西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

“数字逻辑与数字系统设计”教学大纲

课程编号:OE2121017 课程名称:数字逻辑与数字系统设计

英文名称: Digital Logic and Digital

System Design 学

时:60

分:4 课程类型:必修

课程性质:专业基础课 适用专业:电子信息与通信工程(大类)

开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院

一、课程的教学任务与目标

数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。

要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA设计工具,培养学生设计较大规模的数字电路系统的能力。

本课程教学特点和主要目的:

(1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。

(2)使学生掌握经典的数字逻辑电路的基本概念和设计方法;(3)掌握当今EDA工具设计数字电路的方法。

(4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

过本课和实验教学, 使学生掌握新的数字系统设计技术.虽然现代设计人员已经很少使用传统的设计技术,但传统的设计可以让学生直观地了解数字电路是如何工作的,并可以为EDA设计工具所进行的操作提供说明,让学生进一步了解自动化设计技术的优点。

成功的逻辑电路设计人员必须深入理解数字逻辑设计相关的基本概念,并熟练掌握EDA设计工具的使用。

二、本课程与其它课程的联系和分工

数字逻辑与数字系统设计主要讨论集成电路器件的外部特性,对门电路内部晶体管的工作原理及状态转换只作定性了解。

数字逻辑与数字系统设计在学科基础中的地位既要体现作为一门课程的完整性和电子线路体系结构的特点,也要体现为后续课程服务的目的。后续的专业课程如计算机组成原理,微机原理、接口技术等都是数字电路系统高度集成的体现。数字电路与系统设计为微处理器与系统设计、嵌入式系统、数字通信等后续课程进行了基础知识准备。

三、课程内容及基本要求

(一)数制与编码(建议3学时)学习数制表示方法和常用编码 1.基本要求

(1)掌握常用数制(2、8、10、16进制数)的表示方法与相互转换方法

(2)掌握常用编码(842BCD码、5421BCD码、余3码、格雷码等)的表示方法 2.重点、难点

重点:二进制,十六进制 难点:格雷码的掌握

3.说明:主要掌握常用编码的表示方法

(二)逻辑代数与逻辑函数化简(建议10学时)

学习逻辑代数的基本运算及函数表示方式,了解逻辑函数的化简方法;学习硬件描述语言(HDL)描述逻辑函数的基本结构,熟悉逻辑函数与HDL之间的对应关系。1.基本要求

(1)熟练掌握基本逻辑运算与逻辑门

(2)了解逻辑代数的基本定理、法则和主要公式,了解逻辑函数代数化简法(3)掌握逻辑函数的标准表达式和常用的五种表达式及相互转换方法(4)能够用HDL描述真值表,熟悉逻辑函数的HDL表达方式(5)熟悉逻辑函数的卡诺图化简法

(6)掌握包含无关项逻辑函数的表示方法及化简方法 2.重点、难点

重点:逻辑函数的两种标准表示形式以及HDL表达方式 难点:五种表达式之间的相互转化 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

3.说明:5个变量以上的逻辑函数化简不作要求

(三)组合逻辑电路(建议10学时)

学习组合逻辑电路的分析方法和设计方法,学习组合逻辑的HDL描述方式 1.基本要求

(1)掌握组合电路的分析方法和设计方法

(2)熟悉组合逻辑电路的HDL设计方法,掌握设计流程图的绘制方法

(3)熟悉常用MSI组合逻辑部件(变量译码器、数据选择器)的逻辑功能,扩展方法及应用

(4)掌握译码器、数据选择器的HDL描述方式

(5)掌握由MSI器件构成组合电路的设计方法和分析方法(6)了解组合电路的竞争冒险现象及消除方法 2.重点、难点

重点:由门电路进行组合电路的设计 难点:中规模集成电路芯片应用

3.说明: 安排组合逻辑研究实验

(四)触发器(建议6学时)

学习触发器的工作原理和功能描述方法,学习触发器的HDL描述方法 1.基本要求

(1)掌握基本RS触发器及常用沿触发的(D、T、JK)触发器的逻辑功能及其描述方法(2)触发器的HDL描述方法(包括行为级描述和结构化描述)(3)熟悉常用集成触发器的逻辑符号及时序图的画法(4)掌握触发器的HDL描述方法中的沿触发与电平触发 2.重点、难点

重点: 触发器的多种描述方法

难点:触发器电路的HDL描述及时序波形

3.说明:触发器部分要求记忆逻辑符号掌握逻辑功能,对触发器内部电路不做要求,安排集成触发器实验。

(五)时序逻辑电路(建议16学时)

学习同步时序电路的分析方法和典型同步时序电路的设计方法,时序电路的HDL描述。1.基本要求

(1)掌握同步时序电路的分析方法,要求根据电路能正确列出状态表,画出状态及时序图并分析其功能

(2)了解同步时序电路的一般设计方法和步骤,掌握给定状态同步时序电路的设计方法

(3)掌握时序电路的HDL描述方法 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

(4)掌握典型MSI时序逻辑部件(74LS161、74LS194)的逻辑功能,扩展方法及应用(5)学习状态机的HDL描述方法,并掌握复杂时序逻辑电路的HDL描述方法(6)掌握以MSI为主的典型同步时序电路的分析方法与设计方法:

任意模值计数器;移位型计数器;序列码发生器(7)掌握典型时序电路的HDL描述方法

(8)了解异步时序电路的主要特点

2.重点、难点

重点:电路自启动自校正的设计;MSI时序逻辑部件的逻辑功能及应用 难点:时序逻辑点状态机HDL描述

3.说明:学习这一章后,要求能看懂器件手册,安排计数器和移位寄存器应用实验。

(六)集成逻辑门(建议3学时)1.基本要求

(1)了解典型TTL与非门的基本工作原理,掌握其主要外特性和参数(2)掌握集电极开路门和三态门的主要特点

(3)掌握MOS逻辑门(以CMOS为主)的主要特点和使用方法 2.重点、难点

重点:TTL与非门的主要外特性和参数 难点:集电极开路门

(七)脉冲波形的产生与整形(建议3学时)了解脉冲电路的分析方法 1.基本要求

(1)了解典型脉冲电路(单稳、多谐、施密特触发器)的基本特点及脉冲电路的分析方法

(2)掌握555定时器的基本工作原理及典型应用

(3)掌握晶体振荡器,施密特单稳集成电路的基本原理及使用方法。2.重点、难点 重点: 555定时器

难点:振荡电路性能提高需要考虑的因素 3.说明:安排脉冲电路的产生和整形实验。

(八)存贮器及可编程器件(建议4学时)1.基本要求

(1)掌握ROM的基本工作原理和几种不同的编程方法(2)了解静态RAM和动态RAM的基本工作原理

(3)了解可编程器件的内部结构特点, 可用资源, 主要参数和选型依据 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

(4)结合实验, 逐步掌握FPGA的仿真与设计技术 2.重点、难点

重点:ROM的基本工作原理, FPGA的仿真与设计技术 难点:ROM实现组成逻辑函数, FPGA的仿真与设计技术

(九)D/A和A/D(建议1学时)1.基本要求

(1)了解D/A和A/D转换器的基本原理和主要技术指标(2)了解典型集成D/A和A/D芯片的特点 2.重点、难点

重点:D/A和A/D转换器的主要技术指标 难点:D/A和A/D转换器的基本原理

四、布置大作业

综合设计(1)-----用VHDL设计一数字频率计(结合实验在FPGA上实现)综合设计(2)-----用VHDL设计一DDS信号发生器(结合实验在FPGA上实现)系统设计完成通过EDA软件仿真后,在FPGA系统上实现验证,期间安排两次讨论。第一次是设计方案评审和讲评,第二次的实现结果报告和讲评。

五、教学安排及方式

总学时 60 学时,讲课 56 学时。讨论4学时。实验单独开课,大作业采用开放式实验方式利用课外时间进行。

六、考核方式

1.期末笔试(以闭卷考试为主,也可开卷考试或半开卷半闭卷考试)占60% , 2.大作业----综合设计占30%, 3.平时成绩占10%.七、推荐教材与参考资料

教材:

(1)新编: 任爱锋, 孙万蓉, 周端等

(2)杨颂华等 数字电子技术基础 西安 西安电子科技大学出版社 2009 参考书:

(1)夏宇闻等译 数字逻辑基础与Verilog设计 机械工业出版社

(2)John F.Wakerly 数字设计——原理与实践(第三版 影印版)高等教育出版社

西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

第四篇:数字城管系统

1.1 数字城管系统

建设目标

数字城管系统建设的目标是:通过无线通信技术、依托空间信息技术、行业实体库技术、工作流技术、计算机网络技术等先进技术手段,实现城市部件和事件管理的数字化、网络化和空间可视化,创新城市管理模式,再造城市管理流程,建立一套科学完善的监督评价体系,提高城市管理水平,构建和谐。具体如下:  由传统“小城管”步入科学系统、长效、综合的“大城管”格局。在城市体制改革中组建的城市管理领导小组、监督指挥中心,负责对全区市政、规划、工商、交通、园林、绿化、建管、房管、环保等部门进行相关职能指导、协调和监督检查。 统一调度,集中整治,联合执法,管理配套。城市管理问题由专人监督,集中到监督指挥中心进行统一调度,达到综合整治、联合执法,强化了管理力度和效果,改变了传统城市管理体制中执法机构多头、职能交叉重叠及处罚不一,推诿责任,管制力度弱化的局面。 城市管理公众参与、全民互动。充分发挥全体市民的主观能动性,增强公众对城管的理解,发动公众积极参与到城市管理工作中来,解决城管与公众的矛盾对立现状,从而为构建和谐社会、和谐做出贡献,为市政府树立关注民生的形象。

1.2 建设内容

根据数字城管的整体需求并结合实际情况,对数字城管的分期分阶段建设,提出如下初步设想:

第一期,初步建成具有特色的县、乡两级一体化的数字化城市管理软件平台,完成各业务系统的建设及系统集成。      确定县数字化城市管理模式的业务流程;

按照监管分离的原则,组建两个轴心的城市管理体系; 完成城市部件数据的普查、测绘和基础数据库建设; 完成软硬件设备及网络等基础平台建设; 搭建数字城管平台;

建设视频监控子系统和车辆监控子系统;    建设数字化城市管理模式监督评价体系; 完成数字化城市管理模式标准规范的制定工作; 完成数字化城市管理平台相关人员的招聘及培训工作。

第二期,进一步优化一期建成的系统,加大资源整合力度,扩大平台实施覆盖的地域范围,拓展平台功能,为城市管理提供更为便捷的服务。   进一步优化数字化城市管理工作流程、优化数字化城市管理信息平台; 建设、完善、强化镇乡级平台;

进一步完善具有本地特色的县、乡级数字化城管模式;

1.3 功能描述

根据数字城管的建设总体目标和任务要求,系统包含九个基础模块:监管无线数据采集子系统、呼叫中心受理子系统、协同工作子系统、地理编码子系统、大屏幕监督指挥子系统、综合评价子系统、构建与维护子系统、基础数据资源管理子系统及数据交换子系统,还将扩展建设视频监控子系统、移动视频门户子系统、车辆监控子系统。

数字城管系统结构图

 监管无线数据采集子系统

监管无线数据采集子系统可以基于移动运营商的无线应用系统实现,向业务处理中心(监督指挥中心)传送的电子表格、照片、声音和问题说明可通过移动运营商为数字城管建设的专用无线应用系统送达,保证信息的实时性、安全性及可靠性。 呼叫中心系统

主要是支持呼叫中心功能的硬件平台,支持数据和语音业务,可实现信息交换和资源共享,满足多个话务员的日常工作。呼叫中心系统应性能稳定,并具有可扩展性,易使用易维护。 协同工作子系统

提供给监督中心、指挥中心、各专业部门以及各级领导使用,系统提供了基于工作流的面向GIS的协同管理、工作处理、督查督办等方面的应用,为各类用户提供了城市管理信息资源共享、查询工具,可以根据不同权限编辑和查询基础地理信息、地理编码信息、城市管理部件(事件)信息、监督信息等,实现协同办公、信息同步、信息交换。各级领导、监督中心、指挥中心可以方便查阅问题处理过程和处理结果,可以随时了解各个专业部门的工作状况,并对审批流程进行检查、监督、催办。系统将任务派遣、任务处理反馈、任务核查、任务结案归档等环节关联起来,实现监督中心、指挥中心、各专业管理部门和区政府之间的资源共享、协同工作和协同督办。 大屏幕监督指挥系统

设在监督指挥中心,实现信息实时监控,便于监督中心、指挥中心和各级领导更加清楚地了解数字化城市管理的状况。可通过大屏幕直观地掌握各个区域的城市部件(事件)信息、业务办理信息、综合评价信息等全局情况,还可以对每个网格、监督员、部件等个体的情况进行查询。 评价系统

提供对数字化城市管理过程中发生的案件全面的统计、评价功能。通过制订城市管理考核评价体系,从区域、部门、岗位等多个角度,对城市管理涉及的各个责任主体进行综合考核及评价,并生成图形、报表等形式的可视化的评价结果。 移动视频门户子系统

对电子政务中与政务、民生相关的视频信息进行分类整合,建立完善的视频信息采集、审核、发布管理制度。依托视频平台进行无线综合视频管理平台的改造,通过权限管理、视频资源管理等功能各项管理制度的实施提供技术保障。通过无线视频门户,市民感受政务公开的多媒体化。 基础数据资源管理系统

由系统管理人员使用。主要功能: 实现添加、管理地图数据功能,可以对基础数据、地理编码数据、城市部件数据等进行配置管理;

具备对各图层属性进行配置的功能,实现对属性字段自定义;

能够维护地图库中包括城市管理部件和事件等要素在内的要素编码、显示样式等;

地图管理中应提供地图预览功能,能够快速查看地图配置效果; 能够配置基于单元网格和城市部件地图快捷操作图层。 GPS车辆定位监控系统

用于对装有GPS车载终端的环卫车辆、城管执法车辆等进行定位监控管理,并结合GIS应用开发系统,实现对环卫车辆、城管执法车辆等的智能化和空间可视化管理。GPS车辆定位监控系统主要供监督中心操作员、值班长和指挥中心办公人员使用。 构建与维护子系统

是系统管理员使用的工作平台,通过该平台,可以快速搭建、维护城市管理业务,定制业务工作流程,设置组织机构,并能够方便快捷地完成工作表单内容样式调整、业务流程修改、人员权限变动等日常维护工作。利用构建与维护子系统,系统管理人员可以方便地调整系统使之适应用户变化的需求

第五篇:数字沙盘系统

数字沙盘系统

数字沙盘系统需在传统的沙盘模型上,增加多媒体展示、互动功能。可通过声、光、电、图像、三维动画以及计算机程控技术与实体模型相融合,能充分体现区位特点,能达到一种惟妙惟肖、变化多姿的动态视觉效果,让参观者有一种全新的体验,并能产生强烈的共鸣。

可通过定制4.5米*3米高度仿真的黄埔古村古港实体沙盘,可以宏观视角,理性手法对黄埔古村古港的历史渊源、古建文物、旅游景点、参观流线、未来规划等各项内容进行全面展示。需为传统沙盘模型赋予声光电变化效果,方便参观者获取更多信息。可提供手持控制终端接口,方便讲解员与参观者互动操控沙盘的光影效果。数字沙盘系统包括高清数字沙盘影片、环幕播放影片、激光反馈控制系统、互动功能模块、数字沙盘控制系统、边缘融合系统、实体沙盘、投影设备、播放设备等。◆系统组成与功能: 软件: 高清数字沙盘影片

影片分辨率:1024*1300;内容说明:1个总体规划介绍(60秒),12个单点景点介绍(每个40秒),包括梁氏宗祠、胡氏宗祠、黄埔公园、黄埔直街、柳塘大街、黄埔图书馆、北帝庙、老姑婆屋、日本楼、子牙居、左恒家塾、夏阳大街。环幕播放影片

影片分辨率:1920*720;内容说明:配合数字沙盘影片投影,对重要景点改造前后效果进行对比。

下载基于FPGA的CDMA数字基带系统设计word格式文档
下载基于FPGA的CDMA数字基带系统设计.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    2012数字系统设计与VHDL课程大作业(定稿)

    数字系统设计与VHDL课程大作业 具体要求 一、 总体要求: 1. 本课程为专业必选考查类课程,以大作业加平时成绩的形式给定最终成 绩。 2. 每班六个题目,每4-5为一组,自由组合,分工合......

    基于数字星球系统的地理教学设计

    基于数字星球系统的地理教学设计---以“大洲和大洋”一节为例 [日期:2013-06-21] 内蒙古师范大学地理科学学院数字星球系统实验室 张越佼 摘要 :基于数字星球系统的地理教学......

    数字档案系统简介

    数字档案系统简介 一、概述 档案是指过去和现在的国家机构、社会组织以及个人从事政治、军事、经济、科学、技术、文化、宗教等活动直接形成的对国家和社会有保存价值的各种......

    初稿 数字城管系统介绍

    天元区数字城管系统介绍讲解稿 尊敬的各位领导,大家上午好(下午好)! 欢迎大家莅临天元区城市管理监督指挥中心,下面由我为各位领导介绍我区数字化城管的运行情况。 我中心成立于2......

    数字程控调度系统(定稿)

    按照煤矿按照《国家安全监管总局 国家煤矿安监局关于建设完善煤矿井下安全避险“六大系统”的通知》,重庆煤矿安全监察局及重庆市煤炭工业管理局的总体对重庆市煤矿通信联络......

    综合数字城管系统

    综合数字城管系统 介绍方案 中国电信黑龙江分公司 二〇〇九年二月二四 目录 一、前言 ................................................. 3 二、系统简介 ....................

    数字园林绿化系统基本内容

    一、园林绿地空间数据管理——基础数据采集、存储、编辑、管理、展示、分析等,摸清现状。1、以近期高分辨率遥感影像为数据来源,摸清宜昌市园林绿地(五种园林绿地)数量、规模;同......

    公路数字沙盘系统

    公路数字沙盘系统 公路数字沙盘系统实际上是以三维 GIS 为软件平台,以 GPS 数据采集工具,以数字地面模型 DEM 为“骨架材料”,以各类遥感图像为“景观纹理”,以公路交通及其相关......