四位二进制数的可控加法实验报告

时间:2019-05-12 01:09:29下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《四位二进制数的可控加法实验报告》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《四位二进制数的可控加法实验报告》。

第一篇:四位二进制数的可控加法实验报告

四位二进制数的可控加法实验报告

一、实验目的。

1.了解四位二进制数运算的基本原理,制定设计方案。

2.利用ISE软件进行可编程逻辑器件设计,完成逻辑仿真功能。

3.使用编译器将设计实现,下载到BASYS2实验板上进行调试和验证所设计的四位二进制数的运算。

二、实验器材。

1.Pentium—Ⅲ计算机 一台; 2.BASYS2 实验板一只;

三、实验方案。1.基本功能。

实现了两个四位二进制数的加减法运算,能够在输出端得出结果.2.清零功能。

利用一个微动开关,在逻辑程序中表示出当按下微动开关后两个操作数都 变为零。再调用以前的加法程序,即可实现输出结果清零。3.用数码管显示。

编写程序,将数值转换为七段显示器显示。将运算结果输送到数码管中。值得注意的是四个数码管要显示不同的数字,就需要利用到人的视觉误差,做一些短暂的延时。4.溢出显示。

本实验中,设计的是一个无符号数加减法器,因而其共有两种溢出情况 一,减法时,减数大于被减数,针对这种情况可以利用比较大小进行溢出判断;

二,加法时,被操作数之和大于15。判断进位,如果进位为1则显示溢出,若反之,则不显示。

四、实验原理图。

五、实验模块说明及部分代码。

1.add1部分。将输入的两个操作数相加并判断大小。相加结果放在led中,进位放在carry中。

led[0]=num1[0]^num2[0];carry[0]=num1[0]&num2[0];

led[1]=num1[1]^num2[1]^carry[0];carry[1]=(num1[1]&num2[1])|(carry[0]&(num1[1]^num2[1]));

led[2]=num1[2]^num2[2]^carry[1];carry[2]=(num1[2]&num2[2])|(carry[1]&(num1[2]^num2[2]));

led[3]=num1[3]^num2[3]^carry[2];if(add)begin carry[3]=(num1[3]&num2[3])|(carry[2]&(num1[3]^num2[3]));end if(sub)begin if(compare)carry[3]=1;else carry[3]=(num1[3]&num2[3])|(carry[2]&(num1[3]^num2[3]))&(~sub);

2.seg7ment。输入数值,用七段显示器进行显示。

case(num)

0:a_to_g=7'b0000001;

1:a_to_g=7'b1001111;

'hE:a_to_g=7'b0110000;

3.AA部分(主模块)。实现其余功能,包括判断溢出,清零,输送显示等。

清零:

if(clr)

begin

num11=4'b0000;

num22=4'b0000;

溢出判断:

if(carry[3])

begin

an1=4'b0001;

num='hE;

六、实验中的问题及解决办法。

1.利用微动开关输入时如果利用if(x)和if(~x)来判断,按下去能显示正确结果,抬起来就会出错。这是由于微动开关按下去是1,抬起来是0造成的。利用几个并列的if语句对不同变量进行判断可以解决此问题,如: if(sub)

begin

num11=sw1;

num22=~sw2+4'b0001;

end

if(add)

begin

num11=sw1;

num22=sw2;

end

if(clr)

begin

num11=4'b0000;

num22=4'b0000;

2.数码管显示,理论上若四个都被触动则会显示相同的数值,所以可每次触动一个,循环显示,利用人的视觉误差产生四个显示不同数值的效果。

3.一个变量在多个always块中被赋值会出错。

解决办法:尽量将所有的判断条件都放在一个always里面

七、实验心得体会。

1.当完全不熟悉一种编程语言时,适当参考示例代码是非常必要的。在完成数码管显示过程中,我开始按照自己的思路编写代码,但不仅出错,而且代码冗长复杂。后来我参考了课上老师给的示例代码,最终理清了思路。

2.在调试程序时,上板测验的过程中一点要耐心并细心,如果不知道到底哪里出错,可以先将一部分代码注释,一点一点排除错误。

3.实验过程中可以先做基础,在一点点的添加拓展内容,但需要我们理清每个模块的作用和相互之间的联系。

4.通过这次实验我还明白,一门编程语言的学习不能只看书本上的知识,而应该在学习的基础上多多应用,我之前从来没有接触过verilog,但通过这几天的不断摸索,已经对verilog有了相当一部分的了解,我想后续的学习过程,也应该多以实践为主。

5.最后非常感谢实验前老师的耐心讲解。

第二篇:二进制到BCD转换实验报告

二进制到BCD转换实验报告

班级

姓名

学号

日期

一、实验目的:1.掌握简单的数值转换算法

2.基本了解数值的各种表达方法

二、实验要求:

将给定的一个二进制数,转换成十进制(BCD)码。

三、实验内容:

1、给累加器赋值,如#123

2、将累加器的内容拆分为三个BCD码,并存入Result开始的三个单元。

四、程序及运行结果截图

DATA

SEGMENT RESULT_1

DB

RESULT_2

DB

RESULT_3

DB

DATA

ENDS

STACK

SEGMENT

ATACK STA

DB

DUP(0)STACK_TOP DB

0 STACK

ENDS

CODE

SEGMENT

ASSUME CS:CODE,DS:DATA,ES:DATA,SS:STACK START:

MOV

AX,DATA MOV

DS,AX MOV

AX,STACK MOV

SS,AX LEA

SP,ATACK_TOP MOV

AX,123H MOV

CL,100 DIV

CL MOV

RESULT_1,AL

MOV

CL,8 SHR

AX,CL MOV

CL,10 DIV

CL MOV

RESULT_2,AL MOV

RESULT_3,AH

ADD

RESULT_1,30H ADD

RESULT_2,30H ADD

RESULT_3,30H

MOV

DL, RESULT_1 MOV

AH,02H INT

21H

MOV

AX,4C00H INT

21H CODE

ENDS

END

START

五、实验过程中遇到的主要问题

;将 A 拆为三个 BCD 码, 并存入 Result 开始的叁个单元

Result equ

20h

org

0

ljmp Start

BinToBCD:

mov

b, #100

div

ab

mov

Result, a

;除以 100, 得百位数

mov

a, b

mov

b, #10

div

ab

mov

Result+1, a

;余数除以 10, 得十位数

mov

Result+2, b

;余数为个位数

ret

Start:

mov

sp, #40h

mov

a, #123

call BinToBCD

ljmp $

end

六、实验后的心得体会

第三篇:《二进制数 信息编码》说课稿

七年级 第三课《二进制数 信息编码》

一、教学目标分析

依据《湖北省义务教育阶段信息技术课程指导意见(试行)》以及七年级信息技术课本的教学要求,结合学生实际,确立以下教学目标:

知识与技能:了解二进制的基本理念,能够实现二进制与十进制的相互转换。过程与方法:以具体任务制作自己的密码为主线,在教师引导、师生互动、生生交流、合作和探究的活动过程中,让学生经历和领悟运用信息技术解决实际问题的思想和方法,促使学生主动学习从而培养学生勇于探究的科学精神。情感态度与价值观:培养学生互相帮助、团结协作的良好品质和专业精神,提高学生的素质内涵。

二、课程分析

《二进制数 信息编码》是理论实践课,由四部分组成。第一部分认识二进制;第二部分认识数字化;第三部分各种信息在计算机中的编码;第四部分认识ASCII码。内容很多,为了让学生能够更好的学习比较枯燥的理论知识,本课教学内容分为2课时完成。第一课时,学习二进制也就是本节说课的内容;第二课时,学习后面三个部分。本课时的学习让学生充分理解二进制的特点以及二进制与十进制的相互转换,为后面学习各种编码奠定良好的基础。

三、教学重点和难点分析

教学重点:掌握二进制与十进制的相互转换。教学难点:二进制转换为十进制的方法。

四、学情分析

青春期的中学生好奇心强,接受新事物能力快。七年级学生自主性已经较强,有一定的探究发现能力。在学习本课时内容之前,学生已经掌握十进制的相关运算。本课时的学习让学生掌握二进制的相关知识,拓展自身知识面。

五、教法和学法分析

信息技术课程的主要任务之一就是要通过信息技术课程使学生具有获取信息、传输信息、处理信息和应用信息的能力,培养学生良好的信息素养,把信息技术作为支持终身学习和合作学习的手段。

1、说教法

根据指导意见的要求,增强教育的针对性、有效性和时代感,必须改进教学方法,教学方法是多种多样的,每位教师也都有自己的教学特色。基于学生对本课题认知的特点,本课中,我主要采用了以下的教学方法:(1)直观演示法 利用电子课堂软件,展示相关图片资料,激发学生兴趣,活跃课堂气氛,促进学生对知识的掌握。(2)任务驱动法

在本课时中,以完成一个任务——制作自己的密码,培养学生整合和运用知识完成“任务”以及自主思考、创作的能动性。(3)问题引导与启发引导相结合

通过学生在研究材料的过程中,自己发现并提出问题,让学生一起参与分析问题、解决问题,从而逐渐提高学生自行分析问题解决问题的能力,使学生养成一种积极面对问题、探索解决问题的积极向上的良好习惯和团结协作的品质。

现代教育教学的目的不仅仅是教学生学会,更重要的是教会学生学,也就是“学会不如会学”。由此可知,指导学生掌握必要的学习方法是极其重要的。

2、说学法

针对学生实情,从学生的角度出发,这节课在指导学生的学习方法和培养学生的学习能力方面主要采取以下方法:(1)自主探究法

对于基本问题的处理,采用自主探究法,以学生为主体,使学生的独立探索性得到了充分的发挥,培养学生的自学能力、思维能力和学习能动性。(2)发现探索法

信息技术课主要培养学生的实践能力,通过案例教学,使学生遇到问题,从而学习分析问题,思考解决问题的方法。(3)模仿学习法

根据学生的实际情况,对于小部分学习困难的学生,采取模仿学生或老师的方法,从而达到学会新知识并学着应用的目的。(4)合作学习法

将学生进行分组协作学习,学生在小组中进行讨论、互相帮助,采用这样的同伴间学习的方式,可以充分发挥学生学习的积极性,弥补教师教学过程中的不足,并有助于团结协作的专业精神的培养。(5)动手实践法:

在信息技术教学中,以实践操作为主,理论知识同样需要学生动手实践,才能更好的掌握。此课题的重点也是让学生自主实践,在实践中获得新知,增强综合能力。(6)自我评价法;

自我评价表的使用,让课堂变的清晰,学习效果一目了然,更能提升学生的学习兴趣。

六、教学过程设计

合理的组织课堂教学是教育成功的关键。教学设计应注意围绕教学的重点和难点,充分调动学生的主观能动性,发挥出教师的主导作用和学生的主体作用,因此,本课时的教学过程设计如下:

1、创设情境、导入新课

请学生欣赏相关资料,了解有关密码的知识,激发兴趣、活跃气氛。提出目标制作自己的密码。

教材上本课时是日常生活中的一些数字信息导入,这样的导入比较平淡,引入密码的相关知识,让学生有种自己是小特工的代入感,这样更能够激发学生的学习兴趣。

2、提出问题、探索新知

请学生观察算式1+1=10,提出问题这个算式是正确的吗?

教师给出学习资料,让学生自主学习,得出结论,引出二进制的概念。

让学生观察的这个算式明显有悖于之前他们学习的数学知识,激发他们的好奇心,让他们更有兴趣去自主学习。根据信息技术新课标要求培养学生敏锐捕捉有用信息、主动获取相关信息、甄别筛选正确信息的能力,自主探索是这节课的一大重点,把课堂交给学生,给学生空间自主探究解决问题,培养学生主动学习勇于探究的科学精神。

3、任务驱动、生师讲解

教师提供材料,让学生自主完成1-10,10个十进制数转换成二进制。教师公布正确答案,学生自查结果正确与否。完成之后,请学生讲解解答过程,生师合作归纳总结出十进制转换二进制的规律。

教师在此期间观察学生实际应用情况,掌握学生接受程度。

师生间的交流体现了生生互动的理念,全员参与的学习过程,加深了学生的学习印象,让学生综合所学知识反思自己的创作,提高了学生信息技术技能、也培养了学生与他人交流沟通的能力。

4、巩固练习、学生自查

教师给出学习资料,学生自主完成密码对照表!

学生自主学习完成后,教师公布正确答案,学生自查!

理论知识的学习更需要反复的练习,学生才能更好的掌握。自查让学生直观的了解到知识点掌握程度如何。完成的好的学生自查让他更有成就感,完成的不理想的学生自查让他更直观的了解到自己还需要改进的地方。

5、知识拓展、小组交流

教师给出密码,请学生破译。完成之后教师提出问题:如果没有对照表,我们能破译密码吗?

给出学习材料,学生分组探究学习,请学生讲解小组探究成果,教师辅助,师生合作,得出二进制转换十进制的方法。

任务驱动法的运用培养了学生整合和运用知识来完成任务以及自主思考创作的能动性。现在的课堂学生才是主体,教师只是引导者,将课堂交给学生。学生的讲解可能不如教师讲解的准确,但是更能培养学生的综合素质,也能够激发学生的学习热情,对于学生而言“小老师”的效果有时更加突出。

6、实践练习、自查总结

教师提供材料,请学生自主使用二进制设计出一组密码。

完成自我评价表的统计。总结归纳本节课的学习成果。

本节课主要采用“任务驱动”的教学方法组织教学,在解决任务的过程中,通过学生的观察、分析、交流与操作来完成知识点的学习以及对知识的应用,整个教学过程既突出了学生的主体地位又发挥了教师的主导作用。这样的课堂设计既提高了学生的语言组织能力及表达能力,又巩固了所学知识点。为了检验和促进每个学生达到预期的目标,发现教学中的问题,对学生的学习效果进行评价是必须的,也是有效的。目的在于加深学生对知识的记忆、理解,完成真正意义上的知识建构。

7、知识延伸、融入生活

教师给出问题:密码能用于我们平常的生活中吗?

给出拓展资料,学生自行研究!

怎样运用学习到的知识改变生活,这个拓展问题的提出希望学生能更了解他们现在所处的生活环境,让我们的学习变得更有价值。

七、课后反思

信息技术课与其它课程有所不同,中小学信息技术课程是为了适应技术迅猛发展的信息时代对人才培养的新要求而设置的必修课程,是以培养学生信息素养和信息技术操作能力为主要目标,以操作性、实践性、探究性、创新性为特征的学习领域。能将信息技术应用到实际生活中解决实际问题,是信息技术老师努力的方向。结合我的教学实践,谈谈我的几点反思:

1、自我评价表的使用提高了学生的学习兴趣,完善了课堂结构。达到了预期效果;

2、在信息技术课堂教学中,教师应是课堂活动的组织者,起指导、启发的作用。应把更多的时间交给学生,让学生在实践中去亲身体验创新和自学的乐趣。这不仅能深化教学内容,发挥学生的主体性,把学生的兴趣推向高潮,而且还有利于拓展学生思维,培养学生的创新能力和自学能力;

3、当学生乐意学愿意学,能学活学时,就将学会学习。将学习当成乐趣,也为学生终身学习奠定了基础。

第四篇:数电实验报告

实验报告书写格式

一、验证性实验报告:

学院: 计算机科学与信息学院

专业班级:

计算机科学与技术081

课程名称:数字电子技术

姓名

同组人姓名

第 组

日期

[实验项目] [实验目的] [实验仪器设备]—最好把仪器的型号也写上

[实验原理]—不要照搬教材,应按自己的理解用简练的语言来概括;还要画原理图,要求作图要规范;还要写出相关公式。[实验内容]—指实验步骤和操作方法

[实验数据记录及处理] —通常是列表格来记录数据;或是记录波形,画波形要规范;或是观测现象等。[实验注意事项] [回答思考题] [心得体会] 心得体会是多方面的,亦是机动的、灵活的。在做实验的过程中比如故障排除的体会,实验改进的意见,以及其它实验的尝试等(选作部分),个人应有个人的意见体会。

在实验报告中发现这个部分完全一致的,立刻作废。

二、综合性和设计性实验报告

学院: 计算机科学与信息学院

专业班级:

计算机科学与技术081

课程名称:数字电子技术

姓名

同组人姓名

第 组

日期

[实验项目] [实验目的] [实验仪器设备] [实验原理]* [设计内容]([实验内容])

[设计过程]—包括逻辑抽象,画真值表(或卡诺图),写出逻辑表达式,选用器件,画出电路图(或实物接线图)。

[实验记录] —在实验箱上接好设计的线路,进行测试,记录测试结果。[实验结论]—总结通过实验得到什么样的结论。[实验注意事项] [回答思考题] [心得体会]

第五篇:EDA实验报告(四位全加器的实现)

计算机09-3班

郑秀枫

09081311 实验一

四位全加器的实现

一、实验目的

1、掌握Quartus9.0图形编辑输入法

2、掌握Quartus环境下文件的编译、仿真及下载方法

3、了解VHDL语言的设计流程

4、掌握quartus环境下VHDL的使用方法

二、实验内容

1、用图形/原理图法实现4位全加器。

2、用VHDL语言实现4位全加器,必须使用元件例化。

3、仿真并通过。

3、下载到实验板,并验收

三、实验步骤

1、图形编辑发设计4位加法器

(1)新建图形文件,设计一位全加器,逻辑电路图如下图(图1-1)所示。

图1-1(2)将设计好的一位全加器进行例化,操作为fileCreate/UpdateCreate symbol files for currentfile,完成此操作后会在元器件符号表里找到刚刚做好的一位全加器。

(3)再新建一个图形文件,用四个已经做好的一位全加器级联成一个四位全加器,其逻辑原理图如图1-2所示。编辑好后保存文件,在文件列表里找到该文件,右键Set as Top-level Entity,将其设置为顶层文件,点击编译按钮就行编译。

计算机09-3班

郑秀枫

09081311

图1-2(4)新建波形文件,赋予每个输入端口某种输入信号,保存波形文件,进行功能仿真,观察输出端波形与输入信号关系是否正确。若不正确,查找问题所在并解决问题;若正确,则进行管脚分配,分配完毕后再编译一次使分配生效,连接DE2开发板到电脑,将文件下载到开发板进行验证。

2、用VHDL语言设计4位加法器

(1)新建一个VHDL源文件,文件名为adder1.vhd,使用VHDL实现一位全加器,其VHDL代码如下:

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;

ENTITY adde1r IS PORT(A,B,Ci:IN STD_LOGIC;

S,Co:OUT STD_LOGIC);END adder1;ARCHITECTURE qadder OF adder1 IS BEGIN PROCESS(A,B,Ci)

VARIABLE n1,n2,n3:STD_LOGIC;BEGIN

n1:=A AND B;

n2:=A XOR B;

计算机09-3班

郑秀枫

09081311

n3:=Ci AND n2;

Co<=n3 OR n1;

S<=n2 XOR Ci;END PROCESS;END qadder;(2)再新建一个VHDL源文件,命名为adder4.vhd,在这里将adder一位全加器例化并使用它,做成四位全加器,代码如下:

library ieee;use ieee.std_logic_1164.all;entity adder4 is port(A,B:in std_logic_vector(3 downto 0);

S:out std_logic_vector(3 downto 0);Co:out std_logic;Ci:in std_logic);end adder4;architecture adder_4 of adder4 is component adder port(A:in std_logic;

B:in std_logic;

Ci:in std_logic;

Co:out std_logic;

S:out std_logic);

end component;signal c1,c2,c3:std_logic;begin u1:adder port map(A(0),B(0),Ci,c1,S(0));u2:adder port map(A(1),B(1),c1,c2,S(1));

u3:adder port map(A(2),B(2),c2,c3,S(2));

u4:adder port map(A(3),B(3),c3,Co,S(3));end adder_4;(3)保存文件后将adder4设置为顶层文件并编译,编译通过后按照与图形编辑发一样的仿真、管脚分配方式进行操作,最后下载到开发板验证

四、实验现象

两种方式实现的四位加法器下载到DE2开发板后都可正常工作,其中使用SW0作为低位的进位,SW4~1作为数据B,SW8~5作为数据A,LDG3~0作为输出的结果,LEDG4作为输出的进位。当SW4~1闭合 SW8~5和SW0断开时,只有LEDG3~0这四个灯亮;当SW8~0全闭合时,LEDG4~0灯全亮。

计算机09-3班

郑秀枫

09081311

中国石油大学(华东)

课题名称

实验项目名称 专业

姓名

EDA设计

实验一四位全加器的设计

计算机科学与技术

孙文吉

下载四位二进制数的可控加法实验报告word格式文档
下载四位二进制数的可控加法实验报告.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    数电实验报告(推荐5篇)

    -数电实验报告 作者: 日期:二、实验仪器及材料 1 、实验仪器设备:双踪示波器、数字万用表、数字电路实验箱2 器件 74LS00 二输入端四与非门 3 片 74LS86 二输入端四异或门 1......

    100以内数加法教学反思

    100以内数加法的教学反思 100以内数加减法,对学生来说都比较简单,在以前口算练习中80%学生已经学会,在本次学习中我听了王老师讲的《小学数学课程内容的理解和把握》,特别是小学......

    数电实验报告9(推荐五篇)

    实验 95 555 时基电路 陈娟 1007010090 杨茂1007010085 一、实验目的:1.掌握 555 时基电路的结构和工作原理,学会对此芯片的正确使用。2.学会分析和测试用 555 时基电路构成的多......

    20以内数的加法教案

    教学简析: 本单元是在10以内数的加减法及20以内数的认识的基础上进行学习的。它是学习多位数计算的基础,也是进一步学习其他数学知识必备的基础,在整个小学数学学习阶段占有非......

    万以内数的加法 教案

    万以内数的加法 教学设计 铁岭市银州区实验小学 王丽君 教学内容:人教版《义务教育教科书 数学(三上)》第36页例1,例2 教学目标: 1、让学生经历探索三位数加两、三位数笔算方法的......

    5以内数的加法教案

    5以内数的加法 古罗镇小 唐清军 教学目标: 1.在具体情境中初步理解加法的含义,并能正确计算5以内的加法。2.在学生掌握5以内的加法的同时,让学生能学会珠算5以内的加法。3.激......

    5以内数的加法教案

    (一)激趣导入 1、今天老师给小朋友们带来了礼物,我的礼物将奖励给坐姿最漂亮,回答问题声音最响亮的小朋友。 2、教师演示:左手3支铅笔,右手2支铅笔,合在一起一共有几支铅笔? 3、小......

    5以内数的加法教案(★)

    教案 5以内数的加法 唐兴艳 教学内容 5以内数的加法(教科书第17页的内容) 教学目标 (1)、在具体情境中初步理解加法的含义,认识加号、加法算式,探索5以内数的加法的计算方法,体会算......