八路智能竞赛抢答器(精选)

时间:2019-05-12 12:16:18下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《八路智能竞赛抢答器(精选)》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《八路智能竞赛抢答器(精选)》。

第一篇:八路智能竞赛抢答器(精选)

美国麻省理工学院

《电子技术课程设计》设计报告

八路智力抢答器

所在学院: 机械与电气工程学院 班 级: 1*电自*班 姓 名: ××× 学 号: 1**24**4*9 指导教师: ×××

2016年6月

八路智力抢答器

一、设计目标和要求

(1)设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,其编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。

(2)给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

(3)抢答器具有数据锁存和显示功能。抢答开始,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示选手的编号,同时扬声器发出音响提示 此外.要封锁输人电路,禁止其他选手抢答,并将优先抢答选手的编号—直保持到主持人将系统清零为止。

(4)抢答器具有定时抢答功能,且一次抢答的时间长度可以由主持人设定(如30 s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的音响,音响持续时间为0.5 s左右。

(5)参赛选手在设定的时间内抢答,抢答有效;有效抢答结束定时器停止工作.显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。(6)如果定时抢答的时间已到,却没有选手抢答,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后做无效抢答,时间显示器上显示00。(7)限定计数器选用条件计 74LS160,显示部分选择共阴型数码管,译码 器选用 74LS48,倒计时时间为 30S。

二、工作原理及数据分析

定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答;扩展电路完成定时抢答的功能。

图1 定时器总体框图

图1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间;当节目主持人宣布抢答题目后,说一声“抢答开始”,同时将控制开关拨到“开始”位置,扬声器发出音响提示,抢答器处于工作状态,定时器倒计时开始。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手在超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:

(1)优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码电路显示编号;

扬声器发出短暂音响,提醒节目主持人注意。

(2)控制电路要对输人编码电路进行封锁,避免其他选手再次进行抢答。(3)控制电路要使定时器停止工作,时间显示器上显示剩余抢答时间.并保持到主持人将系统清零为止。当选手将问题回答完毕,主持人操作控制开关.使系统回复到禁止工作状态,以便进行下—轮抢答。

八路抢答器一共分为一下几个部分电路:1.抢答电路,2.定时电路,3.报警电路。

1.抢答电路

抢答电路的功能有两个:—是能分辨选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。选用优先编码器74LS148和RS锁存器74LS279可以完成上述功能,其电路组成如图2-1,2-2所示。

图2-1 抢答器电路

图2-2 仿真抢答器电路

74ls48引脚图和逻辑图

其工作原理是:当主持人的控制开关处于“清除”位置时,RS触发器的R端为低电平,输出端(4Q~1Q)全部为低电平,于是74LS48的RBI=0,显示器灭灯;74LS48的选通输入端ST=0,74LS48处于工作状态,此时锁存电路不工作。当主持人将开关拨到“开始”位置时,优先编码电路和锁存电路同时处于工作状态,即抢答器处于等待工作状态,等待输入端I7、···、I0输人信号。当有选手将键按下时(如按下S5,74LS148的输出Y2Y1Y0=000,YEX=0,经RS锁存器后,CTR=1,B=1,74LS279处于工作状态; 4Q3Q2Q=101,经74LS48译码,显示器显示“5”。此外,CTR=1,使74LS148的ST端为高电平.74LSl48处于禁止工作状态,封锁了其他按键的输人。当选手按下的键松开后,74LS148的YEX为高电平;但由于CTR维持高电平不变,所以,74LS148仍处于禁止工作状态,其他按键的输入信号不会被接收。这就保证了抢答者的优先性以及抢答电路的准确性。当优先抢答者回答完问题后,由主持人操作控制开关S,使抢答电路复位,以便进行下一轮抢答。2.定时电路

该部分主要由555,定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48D译码电路和2个7段数码管即相关电路组成。完成的功能是当主持人按下开始抢答按钮后,进行30s倒计时。当有人抢答时,计时停止。两块74LS192实现减法计数,通过译码电74LS48D显示到数码管上,其时钟信号由时钟产生电路提供。74LS192的预置数控制端实现预置数30s,计数器 的时钟脉冲由秒脉冲电路提供。按键弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时,输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢答无效。

本模块采用可预制的十进制同步加/减计数器74LS192,192 的清除端是异步的。当清除端(CLR)为高电平时,不管时钟端(CPD、CPU)状态如何,即可完成清除功能。192 的预置是异步的。当置入控制端(~LOAD)为低电平时,不管时钟CP的状态如何,输出端(QA~QD)即可预置成与数据 输入端(A~D)相一致的状态。192 的计数是同步的,靠CPD、CPU同时加在 4 个触发器上 而实现。在CPD、CPU上升沿作用下QA~QD 同时变化,从而消 除了异步计数器中出现的计数尖峰。当进行加计数或减计数时 可分别利用CPD或CPU,此时另一个时钟应为高电平。电路如图3-1,3-2所示。

图3-1 定时电路

图3-2 仿真定时电路

3.报警电路

由555定时器和三极管构成的报警电路和74ls160原理图如图4.图5所示。其中555定时器构成多谐振荡器,振荡频率为 f01(R12R2)Cln21.43(R2R)C

121其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作;反之,电路停振。

图 4

三、仿真测试及分析

1、测试目标:测试八路智力抢答器是否具有相应功能

2、测试流程

运行电路,通过开关控制电路工作,测试电路是否具有相应功能。

3、所需要的仪器设备:

1.优先编码器74ls148 1个 2.可逆计数器74ls192 2个 3.十进制计数器74ls160 2个 4.RS触发器74ls279 2个 5.译码器74ls48 3个 6.5v共阴数码管 3个 7.555定时器 1个

8.2输入与非门74ls00 2个 9.3输入与门74ls11 2个 10.各类电阻15k.68k.10k等.11.10uf电容 1个 12.0.01uf电容 1个

4、测试电路

开关闭合,倒计时30s 开关复位,开始倒计时

抢答之后,显示抢答编号

闭合另一个开关,显示无变化

主持人开关控制闭合,示数消失,显示倒计时30s

5.遇到的问题,以及解决办法。

(1)抢答电路中,数码管前需要安置一个定值电阻rpack7,在没安置rpacck7前,测试电路一直无法显示抢答选手号码。

通过查询网络和书籍资料,我发现,在74ls47或74ls48显示译码器和数码管之间添加一个电阻排有稳定电平的作用,只有在它们之间串联rpack7才能达到设计要求。(如下图所示)

四、心得体会

针对课设题目八路抢答器,一开始还没有头绪,不会运用所学知识进行有效设计,但通过上网查阅各种类似的设计,查阅所提供的芯片功能,确定基本设计方案,又通过仿真验证试验方案的可实行性。虽说比较烦杂,但却对设计一个电路有了基本的经验。通过两周的课程设计,使我对数字电路有了进一步的了解,在设计抢答器、报警器、定时器的过程中,通过翻阅资料,上网搜索等,我对各电路器件(如:与非门,555定时器和LED显像管等)及原理有了更深一层次的认识,既增强了我的理解能力,也使我能更好的运用所学的知识。开始时我还不太明白电路是如何连接的,并且对其原理也不甚了解,但通过对所学知识更深入的了解和同学的讲解和帮助,最终使我克服了难关,并成功地作出了设计。两周的锻炼, ,我有过对知识掌握不足时的迷茫,也有过思路不清时的懊恼,但一路走来,我却收获了知识,收获了希望和努力后的成果。

这次的课程设计使我懂得了理论应与实践相结合的道理,一个人只有理论知识往往是远远不够的,只有把所学的理论知识与实践相结合,从理论中得出结论,才能真正的掌握它,真正为社会服务,从个人提高自己的实际动手能力和独立思考团结合作的能力。在这次的课程设计中我深刻的体会到了自己知识的匮乏,我应该学会查询资料,认真的操作,因为在设计过程中,我失败过不止一次,结果都是因为粗心大意,所以我还找到了自己的缺点,努力克服,才能学得更好。这次的课程设计不仅帮助我巩固了书本知识,还加强了我的动手、思考以及解决问题的能力,所以我很感谢这次课程设计。

总之,在设计过程中学到了许多。作为现代的大学生,如果仅停留在以往的层次上,是远远跟不上时代的步伐,也无法使自己立足在竞争如此激烈的社会里,通过此次实习,看到了自己的水平和差距,学要在今后的学习中又进一步的提高。

五、参考文献

阎石等编,数字电子技术基础(第五版)[M],高等教育出版社2011年11月 朱清慧等编,Proteus教程——电子线路设计、制版与仿真[M],北京:清华大学出版社

第二篇:八路抢答器实验报告

数字电子设计课程设计

八路智力竞赛抢答器设计

一.实验目的

掌握抢答器的工作原理及其设计方法。

学会用Multisim8软件操作实验内容。

掌握设计性试验的实验方法

二.实验要求

八路智力竞赛抢答器功能要求:

基本功能:

1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是0、1、2、3、4、5、6、7。

2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。

扩展功能:

1.抢答器具有定时抢答的功能。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。

2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。

3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00.三.实验原理

根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮

数字电子设计课程设计

时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答及报警功能。

比赛开始时,接通电源,节目主持人将开关置于“清零”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器上显示设定时间。当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,抢答器处于工作状态,定时器开始倒计时。若定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。若选手在定时时间内按动抢答按钮时,抢答器要完成以下四项工作:1.优先编码器电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号; 2.扬声器发出短暂声响,提醒节目主持人注意; 3.控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答; 4.控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕时,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。

上述方案所示抢答器的工作过程:主持人按动开始抢答的开关后,最先抢答的选手的电平信号先经过优先编码器,再依次经过数据锁存器,此时已经限制了其他选手的抢答,信号再经过译码器和七段数码显示器,将最先抢答的该选手的编号显示出来,并同时产生报警信号,到此完成的是抢答功能;如果没有人抢答,30秒减计数器减到00时也会发出报警信号,此时完成计时功能。

数字电子设计课程设计

它的优点表现在以下几个方面:这种方案原理比较简单。主持人对整体电路的控制只需几个门电路就可完成,不必用特别的芯片来组成控制电路;更容易实现报警提示功能,在有选手抢答后或者计时开始和结束时。既减少了布线使整个电路更直观简单,又降低了产生错误的可能性。

四.实验器材

元器件:74LS48,72LS192,74LS279、74LS148、74LS00、74LS08、74LS32、NE555、CC4511各几个,数码管三个,发光二极管一个,开关、电阻、电容若干

仿 真:Multisim8仿真软件

五.实验步骤

1.抢答电路设计

抢答电路的功能有两个:一是能分辨出选手按按钮的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按钮操作无效。因此,选用优先编码器74LS148和RS锁存器74LS279以及译码显示电路完成上述功能。

74LS148是一八线-三线优先编码器,该编码器由8个信号输入端,3个二进制输出端,输入输出均为低电平有效。EI为输入使能端,低电平有效,当EI为低电平时,编码器处于工作状态;EO为输出使能端,只有在EI=0,且所有输入都为1时,输出为0;GS表征编码器的工作状态,当且仅当EI为低电平,且输入至少有一各为有效电平时,GS才有效。因此,可根据EI、EO、GS功能扩展端的特点,对电路进行相应控制。编码器在抢答电路中功能是判断抢答者的编号。

74LS279是由4个RS锁存器组成,且均为与非门构成的RS锁存器。其中,1S和3S有两个输入端,S1和S2均为相与的关系。本设计中,将S2均接高电平,仅利用S1控制输出。其引脚图如图四所示,下表为SR锁存器的功能真值表,值得注意的是S和R不能同时为高电平,否则输出不确定。

74LS48为七段显示译码器。该集成译码器设有多个辅助控制端,以增强器件的功能。BI/RBO为灭灯输入,当BI=0时,所有字形熄灭。LT为试灯输入,当LT=0且RBO=1时,显示字形为8,常用于检测自身的好坏。RBI为动态灭灯输入,当LT=1,RBI=0且输入均为0时,输出均为低电平,数码管“灭零”。

译码器74LS48输出高电平有效,用以驱动共阴极数码管。七段显示译码器一般与七段数码显示器相连,共同构成四输入端的数码显示电路。

数字电子设计课程设计

2.定时电路设计

设计中选用十进制同步加/减计数器74LS192进行设计,74LS192是具有置数和清零功能,其引脚图和逻辑图如图1所示,真值表如表5所示。图1 74LS192引脚图和逻辑图

P0、P1、P2、P3——置数并行数据输入; Q0、Q1、Q2、Q3——计数数据输出; CR————————清零端; LD————————置数端;

CPu ———————加法计数CP输入; CPd ———————减法计数CP输入; CO————————进位输出端; BO————————借位输出端。

根据设计要求,需要两片74LS192构成100进制减计数器。由功能真值表可知,只需将个位74LS192的借位输出端BO与十位74LS192的CPd即可实现100进制减计数。值得注意的是,要使其实现减计数,CPu端口必须接高电平。

计数器的时钟脉冲由秒脉冲电路提供。秒脉冲电路由555构成的多谐振荡器构成,如图2所示,谐振荡器无需外加输入信号就能在接通电源自行产生矩形波输出。

数字电子设计课程设计

图2多谐振荡器

因为周期为一秒,所以频率是1赫兹。图中电容的充放电时间分别是: t1=RB×C×ln2≈0.7RB×C t2=(RA+RB)×C×ln2≈0.7(RA+RB)C 所以555的3端输出的频率为: f=1/(t1+t2)≈1.43/[(2RA+RB)C] 我们采用的电阻和电容值分别是:RA=15KΩ,R2=68KΩ,C1=10uf,满足上式,即得到的是秒脉冲。

由以上集成芯片设计的定时电路如下图所示。

工作原理: 555构成秒脉冲产生电路为计时电路提供脉冲。抢答开始前主持人闭合开关,74LS192的置数端PL为低电平有效,处于置数状态,数码管显示定时时间。抢答开始,主持人打开开关,计数器处于计数状态,555产生的秒脉冲与十位74LS192借位输出端(其初始状态为高电平)相与。计数器递减计数至00,十位74LS192借位输出端为低电平,计数器停止工作,产生报警。计时期间有人抢答,减计数器停止计时,显示器上显示此刻时间。

3.时序控制电路设计

时序控制电路是抢答器设计的关键,需要完成以下三项功能:

a.主持人将控制开关拨到“开始”位置时,抢答电路和定时电路进入正常抢

数字电子设计课程设计

答工作状态。

b.当竞赛选手按动抢答键时,抢答电路和定时电路停止工作。c.当设定的抢答时间到,无人抢答时抢答电路和定时电路停止工作。

4.抢答器整体电路

通过控制电路将抢答、定时电路进行连接后,构成了抢答器电路的整体设计,总电路图如图17所示:

主电路:

数字电子设计课程设计

六,实验结论

1.主持人将开关拨到开始的位置,抢答电路和定时电路进入正常抢答状态。2.在30秒内当选手按动抢答键,抢答电路和定时电路停止工作,同时数码管显示相应选手的编号。

3.如果在30秒内无人抢答,抢答电路和定时电路停止工作,选手再按抢答键,将不会显示编号,同时定时电路显示00.七.实验小结

通过本次课程设计,不仅有效巩固了本学期所学数电的相关知识,加强了对重要知识点的记忆和理解,还学会如何运用Multisim8仿真进行仿真,以及如何使用面包板进行实物制作,受益匪浅,现总结如下。

本设计的难点在于时序控制电路的设计,如何在第一位抢答者抢答题目后让编码器停止工作;如何使计时电路在抢答后停止倒计时;如何让定时电路和抢答电路同时清零。设计过程中,根据以往抢答器设计思路,及查阅相关资料,可运用74LS279的输出1Q完成上述控制任务。从这一点,折射出自己在平时的学习中较死板,缺乏变通思考的能力。

在电路仿真的过程中,由于Multisim8操作相对较简单,因此在仿真过程中较为顺利。但仿真软件的操作环境比较理想化,实物操作时会受到部分因素的干扰,例如在仿真电路中电路完全没有问题,但当焊接好实物后,定时电路与抢答电路单独运行都没有问题,但互相反馈时互相干扰。最终通过与互相讨论,请教老师,解决了问题。

通过本次实践操作,也让我深刻明白:只有将课本上的理论知识,结合实践不断练习,不断总结提炼,反复思考实践中的经验教训,才能够真正消化为自己的知识。

第三篇:八路抢答器实验报告

八路抢答器的实验报告

一、设计题目

八路抢答器设计

二、设计要求

1.设计一个竞赛抢答器,可同时供8名选手或者8个代表队参加比赛,他们的编号分别是1、2、3、4、5、6、7、8,各用一个抢答器的按钮,按钮的编号与选手的编号相对应。

2.给节目主持人设计一个控制开关,用来控制系统的清零和抢答开始。3.抢答器具有数据锁存、显示的功能和声音提示功能。抢答开始后,若有选手按动按钮,编号立即锁存,在数码管上显示选手的编号,并有声音提示。此外,要封锁其他选手抢答。优先抢答的选手的编号一致保持到主持人将系统清零为止。

三、设计思路

工作原理为:

1.接通电源前,抢答按钮与清零按钮都未按下。接通电源后,主持人清除开关处于工作状态,抢答器处于工作状态,编号显示器显示为0,蜂鸣器未鸣响(为方便控制,电路设计为清除开关按下时是清零状态,未按下时为工作状态)。等一轮抢答完成后(七段数码管显示出优先抢答队员编号,并蜂鸣器鸣响),主持人将清零开关按下数码管清为零,蜂鸣器停止鸣响。然后先后把各队员抢答按钮与主持人清零按钮复位。即可进入下一轮抢答。

原理为:电路中清零按钮控制D触发器集成块74LS175清零端低电平输入,按下时清零端输入为低电平(清零端低电平有效),未按下时输入高电平。清零后D触发器集成块74LS175 Q`端输出全变为高电平,使编码器74HC147(有效输入电平为低电平)无有效低电平输入,七段数码管上显示为0。

2.抢答时,队员按下抢答按钮的时间有先后次序,电路中每个抢答按钮连接一个D触发器,当一抢答按钮按下后其对应的触发器锁存住信号,Q`端输出有效低电平,同时通过反馈电路使D触发器集成块得脉冲信号终止输入,从而使其他D触发器停止工作,抢答后也无法锁存。达到有先输入有先锁存功能。原理为:根据与门功能特点:只要有一低电平输入输出即为低电平。当D触发器集成块74LS175输出端输出一有效低电平后,电路中第7个与门U15A输出即为低电平,而U15A输出信号又与555触发器构成的多谐振荡器输出信号相与,因此只要U15A输出低电平,多谐振荡器产生的脉冲就无法输入,只有当D触发器集成块74LS175输出端输出全为高电平时脉冲才正常输入,各D触发器正常工作。

3.抢答后,数码管显示优先抢答队员编码,蜂鸣器鸣响。

原理为:D触发器集成块74LS175输出输出锁存信号(有效低电平)后通过编码器 集成块74HC147把输入低电平有效信号的端序号(1至8),编码为四位二进制数0001至1000。然后通过74LS47集成块(数码管驱动器),在数码管上显示相应的数字,即优先抢答队员编码。当蜂鸣器两端分别输入高低电平时,风鸣器鸣响,当两端都为低电平时不鸣响。已知第7个与门U15A在位抢答前为高电平,抢答后为低电平。由此可根据与门U15A输出电平随抢答状态的变化来控制风鸣器的鸣响。使蜂鸣器低电平端接地,高电平端通过一非门接与门U15A输出端即可。

四、实验电路 1.电路原理图

电路原理图1:

1.由于七管脚半导体数码管是理想器件,不存在。因此改用74LS47集成块驱动七段字符显示器。

2.由于八管脚或非门集成块未找到,后改用7个与门代替,集成块为74LS08.3.为方便控制,电路改进为清除开关按下时是清零状态,未按下时为工作状态。

修改后的电路原理图如下图。

电路原理图2:

2.组装电路图与实验结果

五、调试过程

在设计电路图在仿真软件Multisim上仿真成功,领到相应的器件后,我们就开始了在电路板上进行了电路的组装,并在组装过程中进行了相应的检验与校正。1.首先在电路连接方面,由于刚开始连电路时未按一定的方法步骤,容易出现连错和漏连情况。

2.由于器件的限制及实际操作情况,电路要做相应的改变。

由于七管脚半导体数码管是理想器件,不存在。因此改用74LS47集成块驱动七段字符显示器。

由于八管脚或非门集成块未找到,后改用7个与门代替,集成块为74LS08.为方便控制,电路改进为清除开关按下时是清零状态,未按下时为工作状态。3.由于器件的损害,使电路无法正常工作。

经过多次对电路的检查,确定电路没连错而仍无法正常工作达到预期功能后,我们开始对各部分电路分别进行了检测:

首先,我们检测了555触发器构成的脉冲产生模块。用的方法:用我们电路中的脉冲产生模块去替代别的同学已经成功电路板中的脉冲模块来触发其电路,结果发现电路板能正常工作,所以验证了:我们的脉冲产生模块是能正常工作的。

然后,我们检测了我们D触发器构成的信号锁存模块。用的方法:用LED灯检测D触发器Q输出端输出电平状况。LED灯一端接地另一段通过电阻接D触发器Q输出端,当抢答按钮按下后,检测相应的Q输出端是否输出高电平,使LED灯点亮。结果发现抢答按钮按下后相应的Q输出端并没有输出高电平使LED灯点亮,于是我们确定了我们的D触发器集成块已损害。替换成相应的集成块后,电路就能正确工作了。

六、实验心得

在此次实验中,我们体验到了理论知识在实际中的运用,体验到了创造过程中的探索的艰难和成功的喜悦。通过此次实验即巩固了我们的理论知识,又锻炼了我们的动手能力。也让我们认识到了把理论运用到实际过程中可能会遇到一些不同的困难,但只要认真分析、仔细思考,用科学冷静的头脑去研究问题,终究能发现问题的所在,取得成功。

第四篇:八路智力竞赛抢答器

电子技术课程设计

——————

八路智力竞赛抢答器

学院:

华科学院

专业,班级:电气工程及其自动化062203H

姓名

段超

学号:

200622050308

指导老师: 黄庆彩

2008年1月

目录

一 设计任务与要求„„„„„„„„„„„„„„3

二 总体框图„„„„„„„„„„„„„„„„„3

三 选择器件„„„„„„„„„„„„„„„„„4

四 功能模块„„„„„„„„„„„„„„„„„6

五 电路的装配调试„„„„„„„„„„„„„„9

六 心得体会„„„„„„„„„„„„„„„„„11

八路智力竞赛抢答器

一.设计任务与要求

1.任务和要求

(1)抢大器能容纳8名选手,并且给出相应的编号为1、2、3、4、5、6、7、8,为每名选手设置一个按键。为了简化设计,可以利用试验仪上的逻辑电平开关。(这部分要求由我主要负责)

(2)设置一个给工作人员清零的开关,以便能开始新的一轮的抢答。为了简化设计,可以利用试验仪上的逻辑电平开关。

(3)用LED数码管显示获得优先抢答的选手的编号,一直保持到工作人员清零或1分钟倒记时答题时间结束为止。

(4)用LED数码管显示有效抢答后的1分钟到记时答题时间。(5)用喇叭发声知识有效抢答及答题时间的结束。(这部分要求由我主要负责)

(6)秒信号不必考虑时间精度,可利用试验仪上所提供的连续脉冲(方波)。

二.总体框图

根据设计任务与要求,我初步将系统分为4大功能模块:主电路、数据采集电路、控制电路和音响电路。可将主电路分为一个十六进制(实现一分钟倒记时答题时间)计数、译码、显示电路;数据采集电路(获得优先抢答选手的编号)分为8路抢答开关、八D数据锁存器、优先编码器、加1电路;控制电路分为锁存控制、倒记时控制、音响控制;音响电路分为单稳态触发器、音振及喇叭电路。以下是我设计的总体框图:如图1所示

图一 总体框图

三.选择器件

整个电路的电子器件有:555定时器,74LS192,74LS148,74LS373,74LS00,74LS04以及若干电容和电阻。我详细介绍一下我所设计的这两个电路中所用到的重要器件(555定时器和 74LS373): 1.555定时器

555 定时器是一种模拟和数字功能相结合的中规模集成器件。555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图和外引脚排列图分别如下图2: 4

图2 555定时器的内部电路框图和外引脚排列图

它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 A1 的反相输入端的电压为 2VCC /3,A2 的同相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 A2 的输出为 1,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 A1 的输出为 1,A2 的输出为 0,可将 RS 触发器置 0,使输出为 0 电平。2.74LS373 5 74373八D锁存器为三态输出的8 D透明锁存器, 373的输出端O0-O7可直接与总线相连。当三态允许控制端OE为低电平时,O0-O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0-O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。当LE端施密特触发器的输入滞后作用,使交流和直流噪声抗扰度被改善400mV。373引出端符号:

D0~D7-----数据输入端 OE-----三态允许控制端

LE-----锁存允许端 O0-O7-----输出端

74LS373外部管腿图、真值表、逻辑图,如下图3所示:

图3 74LS373外部管腿图、真值表、逻辑图

真值表中:L——低电平; H——高电平; X——不定态; Q0——建 立稳态前Q的电平; G——输入端,与8031ALE连高电平:畅通无阻低电平:关门锁存。图中OE——使能端,接地。当G=“1”时,74LS373输出端1Q—8Q与输入端1D—8D相同;当G为下降沿时,将输入数据锁存。

四.功能模块

根据设计任务与要求,我初步将系统分为4大功能模块:主电路、数据采集电路、控制电路和音响电路。

1.主电路由六十进制计数器和译码、显示电路两部分组成。2.控制电路由锁存控制和倒计时控制两部分组成。以上的这两大模块部电路均由我的搭档负责

以下的数据采集电路和音响电路两个模块是由我主要负责,下面我就详细说明我所设计的这两模块: 3.数据采集电路(1).八路抢答开关

为8位选手提供8个抢答的按钮,这样可以在松开按钮后及时复位,为下次做准备。这部分我利用的是试验仪上的8个逻辑开关,在接电路图的时候,只用一个开关仿真。(2).八D数据锁存器

采用八D数据锁存器74LS373,抢答前应使锁存允许LE=1,此时允许选手抢答,当有选手抢答有效时,要利用控制电路中的LE=0,使数据被锁存,其它选手就抢答无效了。(3).优先编码器 采用优先编码器74LS148,因为采用了高速控制电路,因此一旦抢答,立即锁存。

我所设计的控制电路将充分利用74LS148的两个输出信号:选通输出Ys和扩展输出YEX。

以下是我设计的数据采集电路电路图如图4所示:

图4数据采集电路

4.音响电路(1).单稳态触发器

设音响提示时间为2秒左右,可采用一脉宽为2秒的单稳态触发器实现。实现单稳态触发器的方法有很多,可以用与非门或者非门电路实现微分型单稳、利用施密特触发器实现单稳、集成单稳等。现采用555定时器实现,注意其脉宽的计算公式为tw=1.1RC.若一个负脉冲触发信号到来,将有效触发单稳态电路产生一个脉宽为2秒的正脉冲。(2).音频振荡器及喇叭电路

利用555定时器实现频率约1kHz的音频振荡器,因555定时器有较强的功率输出能力,可以直接推动喇叭输出。

当单稳态触发器进入暂稳态产生一个正脉冲时,控制555定时器开始工作,发出响声;当单稳态触发器自动返回稳态后,555定时器清零,不能发声。以下是我设计的电路图如图5所示:

图5 音响电路

五 电路的装配与调试

1.由图中所示的定时抢答器的总体方框,按时信号的流向分单元装配,9 逐级级联。

2.我接好电路后,开始检验我的结果,发现当有选手抢答后,没有开始倒计时,经过老师的指正我们找到了原因,并立即改正,实现了要求的结果。

3.我将裁判的开关拨至0再拨回1时,发现是总能在任意时间重新抢答。(与设计要求相符)

4.我检查到,当计数器减到00时,产生了一个负脉冲信号,同时也允许开始新一轮的抢答。(与设计要求相符)

5.最后我检查的是我所设计的音响电路,当我拨动一个选手开关后,音响电路所对应的绿灯开始发光,并开始倒计时。当计数器减到00时,绿灯再次发光提示。(与设计要求相符)现给出我所设计的总电路图如图6所示:

图6 总电路图 我的仿真结果图如图7所示:

图7 分别是倒计时、数据采集、音响电路的仿真结果

六 心得体会

在设计之前,参考了许多相关的资料。在设计中又参考了以前讲过的四路抢答器的原理图,有了基本的思路。

但着手设计时,又出现了许多未预料到的问题,例如元件的选择:在选择 编码器时,是采用普通编码器还是优先编码器。普通编码器中,任何时刻只允许输入一个编码信号,否则输出将发生混乱。所以选择了优先编码器。但是74LS系列中众多不同管脚的类型,选择哪个作编码器。经过查找,选择了74LS192,因为想用数字的形式显示抢答者的编号,所以选择了数码显示管,但数码显示管不能直接,数码显示管需要由TTL或CMOS集成电路驱动,所以在TTL还是CMOS集成电路上又进行了比较和选择。最后选择了数显译码器,用它将输出的二进制代码译成相对应的高、低信号,用其作为数码显示管的驱动信号,数码显示管显示出相对应的选手编号。在音响电路中,根据设计需要选择了555定时器。

在一些设计原理上也遇到了许多新问题。发现当电源接通后,无论有无人按按钮都会使音乐集成电路通电发出声响,经同学以及老师的指证,发现导通的原因,并及时的改正。

通过这次八路抢答器的设计,我发现了以往学习中的许多不足,也让我掌握了以往许多掌握的不太牢的知识,感觉学到了很多东西。三周的课程设计,留给我印象最深的是要设计一个成功的电路,必须要有耐性和坚持下去的毅力。在整个电路的安装调试的过程中,花费时间最多的是各个元件电路的连接,电路的细节设计以及连完线路后的检查工作上,其中在连接电路是出现问题比较多,在555元件和74LS192元件的连接的调试的时候出现了问题在老师的指导和讲解下我门有了更深刻的认识,同时对元件的原理的功能了解的更多更深刻。在这次过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当的烦琐,有时花很长时间检查电路故障,分析原因,那时心中就有点灰心,有时还特别想放弃,此时更需要静下心来,更仔细的查找原因。

总之,这次实验过程中我受益匪浅,在摸索我和我的搭档实现了课题所要求的结果。培养了我的设计思维,增加了动手操作的能力。更让我体会到实现电路功能喜悦。

第五篇:八路智力竞赛抢答器设计实验报告

数字电子技术课程设计

题 目:

姓 名: 专 业: 班 级: 学 号: 指导教师: 八路智力竞赛抢答器设计

电子科学与技术 122班 年 月 日 安徽科技学院理学院

安徽科技学院《数字电子技术》课程设计报告

八路智力竞赛抢答器设计

一、课程设计题目(与实习目的)

(一)、题目:八路智力竞赛抢答器设计

(二)、实习目的:

1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。4.培养认真严谨的工作作风和实事求是的工作态度。

5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。

二、任务和要求

实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。

(1)抢答器设计要求

设计一个抢答器,基本要求:

1.抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。

2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。

三、总体方案的选择

(1)总体方案的设计

针对题目设计要求,经过分析与思考,拟定以下二种方案:

方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。

主体框图如下:

第 1

页 安徽科技学院《数字电子技术》课程设计报告

图1 八路智力抢答器方案一设计框图

方案二:主持人按动开始抢答的开关后,最先抢答的选手的电平信号先经过优先编码器,再依次经过数据锁存器,此时已经限制了其他选手的抢答,信号再经过译码器和七段数码显示器,将最先抢答的该选手的编号显示出来,并同时产生报警信号,到此完成的是抢答功能;如果没有人抢答,30秒减计数器减到00时也会发出报警信号,此是完成计时功能。

主体框图如下:

图2 八路智力抢答器方案二设计框图

第 2

页 安徽科技学院《数字电子技术》课程设计报告

(2)总体方案的选择

相比之下,第二种方案更好些。它的优点表现在以下几个方面:这种方案原理比较简单。主持人对整体电路的控制只需几个门电路就可完成,不必用特别的芯片来组成控制电路;更容易实现报警提示功能,在有选手抢答后或者计时开始和结束时。既减少了布线使整个电路更直观简单,又降低了产生错误的可能性。

四 单元电路的设计

1.设计所使用的元件及工具:

74LS48----------------------------3个;

74LS279----1个; 74LS192-2个;

74LS148--------1个; 74LS00----------------------------------3个;

74LS10------------1个;

发光二极管--------------------1个; 555----------------------1个; 电容: 0.1μf---------------1个;

0.01μf----------------1个;

电阻: 10kΩ

--------------------------------------9个;

15KΩ---------------1个; 1kΩ-----------------1个; 68KΩ-----------------1个

实验板一块; 万用表一个; 钳子一个; 导线若干。2.各个单元电路(1)抢答电路设计

抢答电路的功能有两个:一是能分辨出选手按按钮的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按钮操作无效。因此,选用优先编码器74LS148和RS锁存器74LS279以及译码显示电路完成上述功能。

第 3

页 安徽科技学院《数字电子技术》课程设计报告

抢答器电路

工作原理:SW1-8为八位选手的抢答开关,SW9单刀双掷开关设为主持人控制开关。当主持人控制开关置于清零状态时,RS触发器的R端为低电平,输出端全部为低电平。于是74LS48的BI为高,显示器灭灯;74LS148的选通输入端ST为高电平,74LS148处于工作状态,此时锁存电路不工作。当SW9置于开始状态,优先编码电路和锁存电路同时处于工作状态。74LS279的1R、1S均为高电平,由真值表可知,输出1Q为低电平,从而使74LS148输入使能端为低电平有效,即抢答器处于等待工作状态。若有选手(假设为3号选手)按动抢答开关(即闭合SW4),此时优先编码器74LS148输入端I3接低电平有效,则输出A2A1A0为100,A2A1A0分别接至4S、3S、2S,根据RS锁存器真值表,2Q3Q4Q输出分别为110,从而74LS48的输入端DCBA为0011,经74LS48译码,显示器上显示“3”。与此同时,当74LS148输入端有一个为低电平时,GS为低电平有效,即标志译码器处于工作状态,从而使1S为0,此时1Q输出为高电平,致使EI为高电平,74LS148处于禁止工作状态,其他选手抢答按钮的输入信号不会被接受。这就保证了抢答者优先性以及抢答电路的准确性。抢答结束后,主持人开关置于清零状态,数码管变灰,一切恢复初始状态,以便进入下一轮抢答环节。

第 4

页 安徽科技学院《数字电子技术》课程设计报告

(2)定时电路设计

设计要求抢答器具有定时功能,且节目主持人根据抢答题的难易程度,可设定一次抢答的时间(设为30s)。设计中选用十进制同步加/减计数器74LS192进行设计,74LS192是具有置数和清零功能, 其引脚图和逻辑图如图10所示。

图10 74LS192引脚图和逻辑图

P0、P1、P2、P3——置数并行数据输入; Q0、Q1、Q2、Q3——计数数据输出; CR————————清零端; LD————————置数端;

CPu ———————加法计数CP输入; CPd ———————减法计数CP输入; CO————————进位输出端; BO————————借位输出端。

表5 74LS192真值表

根据设计要求,需要两片74LS192构成100进制减计数器。由功能真值表可知,只需将个位74LS192的借位输出端BO与十位74LS192的CPd即可实现100进制减计

第 5

页 安徽科技学院《数字电子技术》课程设计报告

数。值得注意的是,要使其实现减计数,CPu端口必须接高电平。

计数器的时钟脉冲由秒脉冲电路提供。秒脉冲电路由555构成的多谐振荡器构成,如图11所示。多谐振荡器无需外加输入信号就能在接通电源自行产生矩形波输出。

图11 多谐振荡器

因为周期为一秒,所以频率是1赫兹。图中电容的充放电时间分别是: t1=RB×C×ln2≈0.7RB×C t2=(RA+RB)×C×ln2≈0.7(RA+RB)C 所以555的3端输出的频率为: f=1/(t1+t2)≈1.43/[(2RA+RB)C] 我们采用的电阻和电容值分别是:RA=15KΩ,R2=68KΩ,C1=10uf,满足上式,即得到的是秒脉冲。

由以上集成芯片设计的定时电路如图12所示。

图12 定时电路

第 6

页 安徽科技学院《数字电子技术》课程设计报告

工作原理:首先主持人根据题的难易程度改变74LS192的输入端D3D2D1D0的电平来确定抢答时间(假定为30秒),555构成秒脉冲产生电路为计时电路提供脉冲。抢答开始前主持人闭合开关,74LS192的置数端PL为低电平有效,处于置数状态,数码管显示定时时间。抢答开始,主持人打开开关,计数器处于计数状态,555产生的秒脉冲与十位74LS192借位输出端(其初始状态为高电平)相与。计数器递减计数至00,十位74LS192借位输出端为低电平,计数器停止工作,产生报警。计时期间有人抢答,减计数器停止计时,显示器上显示此刻时间。

(3)报警电路设计

由555定时器和三极管构成的报警电路如图13所示。图中555定时器用来构成多谐振荡器,其震荡频率和秒脉冲产生电路中频率的计算方法相同。3端的输出信号经过三级管驱动扬声器,发出报警信号。当4端的输入信号是高电平时,振荡器工作,有报警信号,4端输入低电平时,振荡器不工作,没有报警信号。也就是说需要报警时只需控制输入端即可。

电路图如下:

图13报警电路

第 7

页 安徽科技学院《数字电子技术》课程设计报告

(4)时序控制电路

时序控制电路是抢答器设计的关键,需要完成以下三项功能:

a.主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。

b.当竞赛选手按动抢答键时,扬声器发声,同时抢答电路和定时电路停止工作。c.当设定的抢答时间到,无人抢答时扬声器发声,同时抢答电路和定时电路停止工作。

本设计中采用门电路对控制开关、抢答电路、定时电路、报警电路进行连接,以实现上述三项功能要求(如图14所示)。

图14 时序控制电路

第 8

页 安徽科技学院《数字电子技术》课程设计报告

其中,两输入与非门采用74LS00,引脚图如图15所示。三输入与门采用74LS11,引脚图如图16所示。电路中利用与非门两输入端相连实现非门的逻辑功能。

图15 74LS00引脚图

图16 74LS11引脚图

工作原理:门G1的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输入使能端。主持人控制开关从“清零”位置拨到“开始”位置时,74LS279的输出1Q=0,经G3反相,A=1,则从555输出端来的时钟信号CP能够加到74LS192的CPd始终输入端,定时电路进行递减计时。同时,在定时时间未到时,74LS192的借位输出端BO2为低电平,门G2的输出ST为高电平,使74LS148处于正常工作状态,从而实现功能a的要求。当选手在定时时间内按动抢答按钮时,1Q=1,经G3反相,A=0,封锁CP信号,定时器处于保持工作状态;同时,门G2的输出ST为低74LS148处于禁止工作状态,从而实现功能b的要求。当定时时间到时,来自74LS192的BO2为高,ST为高,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁CP信号,使定时电路保持00状态不变,从而实现功能c的要求。

第 9

页 安徽科技学院《数字电子技术》课程设计报告

五 总体电路图

图17 总电路图

下面介绍八路智力竞赛抢答器的使用原理。

首先是各个选手分别对应的按钮编号是S0、S1、S2、S3、S4、S5、S6、S7,抢答后显示器上显示的分别是0、1、2、3、4、5、6、7。

然后是主持人对整个电路系统清零,将开关置于“清零”的位置,输出低电平,分为两路:一路与锁存器的1R2R3R4R端相连,使输出端1Q2Q3Q4Q为低电平,1Q所输出的低电平经与门反馈给74LS148的EI端子,编码器不工作,因此抢答部分显示器灭灯无显示,实现了清零;另一路低电平输出到计数器74LS192的LD端,而CR端也是低电平,所以使得对应显示器输出预置的数据。

接下来主持人根据题目的难易程度设置抢答时间,此设定可以通过调节输入两片74LS192的四个输入端D、C、B、A的高低电平来进行(例如要设定时间为30秒,就

第 10

页 安徽科技学院《数字电子技术》课程设计报告

将十位的74192的D、C、B、A分别置位为0、0、1、1,而将各位的74LS192的D、C、B、A都置于0)。当主持人宣读完题目说“开始”并将开关置于“开始”位置后,输出为高电平,此高电平有两路方向:一路输出到74LS192的LD端,使其处于高电平而开始减计数;还有一路输出到锁存器的R端。

当任意一个选手抢答时,例如3号抢答时,74LS148三号端子输入低电平有效,此时GS为低电平有效,表征编码器在正常工作。编码输出A2A1A0为100,与其对应的4S3S2S为100,经74LS279锁存,4Q3Q2Q输出为011,经译码显示编号为3。与此同时,1Q所输出的高电平反馈回编码器的是能输入端,使其停止工作。此时,其他选手若再按动按钮也无对应输出,这就保证了抢答者优先性以及抢答电路的准确性。另一路,74LS148的GS端输出电平由高变低,与秒脉冲发生器产生的秒脉冲相与后输出为0,使得无脉冲抵达计数器74LS192的Down端。计数器停止工作,保持原来显示不变,即实现了暂停减计数使其记录抢答时间的功能。

若没有选手按动按钮,则74LS279输出全为高电平,74LS148也输出高电平,1Q端输出低电平至74LS48的灭灯输入RI/RBO端,使得信号经74LS48到显示器上时无显示;若到定时部分计数器倒计时到00还无选手按动按钮的话,十位74LS192的借位输出端输出高电平反馈回个位Down端,停止计数。综上所述,所设计的电路基本可以实现要求中的功能。

六 电路仿真

电路仿真采用Multisim仿真软件进行的。Multisim软件可提供的仿真元器件资源:仿真数字和模拟、交流和直流等数千种元器件;可提供的仿真仪表资源 :示波器、逻辑分析仪信号发生器、交直流电压表电流表等。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。因此,选用该软件对抢答器各单元电路及整体电路进行仿真。

第 11

页 安徽科技学院《数字电子技术》课程设计报告

七、小结

通过本次课程设计,不仅有效巩固了本学期所学数电的相关知识,加强了对重要知识点的记忆和理解,还学会如何运用Multisim仿真进行仿真受益匪浅,现总结如下。

本设计的难点在于时序控制电路的设计,如何在第一位抢答者抢答题目后让编码器停止工作;如何使计时电路在抢答后停止倒计时;如何让定时电路和抢答电路同时清零。设计过程中,根据以往抢答器设计思路,及查阅相关资料,可运用74LS279的输出1Q完成上述控制任务。从这一点,折射出自己在平时的学习中较死板,缺乏变通思考的能力。在电路仿真的过程中,由于Multisim操作相对较简单,因此在仿真过程中较为顺利。

第 12

页 安徽科技学院《数字电子技术》课程设计报告

通过本次实践操作,也让我深刻明白:只有将课本上的理论知识,结合实践不断练习,不断总结提炼,反复思考实践中的经验教训,才能够真正消化为自己的知识。

八 分析和总结。

本次课程设计我只用了一个星期的时间就全部做完,效率很高,因为在设计之前根据设计的要求,每个模块都仔细的设计分析了,正是整个过程我都认真的态度和方案选择合适,才有这么高的效率。而且从本次课程设计中收获很多。可以总结为以下的几点:

(一)、巩固数电知识

这次课程设计主要是运用数字电路逻辑设计的一些相关知识,在整个实习过程中,都离不开对数字电路课程知识的再学习。我在最开始,就先将实习用到的知识通过翻阅数电书回顾了一遍(这也是对这门课的复习,给以后的复习备考减少了很多负担),这样的回顾让我对知识的理解更加透彻,对后来的快速设计起了很好的铺垫作用。而且还参考了数字电路实验指导书,关于芯片的管脚,里面有清晰的描述。

(二)、学会用电路板、芯片、导线等组装各种功能的电路;

虽然这不是第一次用电路板,因为之前的课内实验也用过,但当时的运用也只是插些导线和电阻电容之类的,用了电路板的很小部分。这次的实习中应用了整块板子,实习后对电路板的组成完全了解了,并能熟练运用。实习中通过对电路的连接也懂得了如何通过设计的分析对所连电路的整体布局,如何更好的放置芯片在最合适的位置。在导线的连接上,如何选择导线走向是关键,我们应该尽量保证所连电路的简捷,宁短勿长,合理布线。一个完美的作品不仅要能很好的完成要求实现功能,还要在感官上给人美的享受。所以站在美的角度对自己的电路进行改良是很必要的。

(三)、理论联系实际

据老师介绍,这是大学里唯一一次比较大型的动手实践机会。我当然不会错过。课程设计,通过选择的题目,根据要求,运用所学知识将其付诸实践来完成。这并不是在课堂上的单纯听懂,或者课后看书过程中的深入理解,这需要的是一种理论联系实践的能力。理论知识往往都是在一些理想状态下的假设论,而实际的动手操作则完全不同,需要考虑实际中的很多问题。有些知识在理论上可能完全没错但到了实际中则不然。比如在动笔做题时我们是不用考虑导线、电阻是否连接的牢固合理,但在实际中,导线电阻有时是会带来时延造成花型变化的错乱,所以我们应尽量在连接电路时选择最短路径。平时试验中,我就很认真,所以会比平时不动手的要轻松地多。

(四)、和同学共同合作、互相学习、共同进步

最初大家没思路的时候,特别的着急,我想出来了,大家问我,我就说了我的思路,我们不是抄袭,只是探讨,们个人都理解了才开始设计,我做的循环两遍,他们的都不是。我们的设计不同,我只是给大家启发了一个思考点。理论上我先设计出来,但在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间,也会从别人身上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的idea,其他人的设计也可能有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。

第 13

下载八路智能竞赛抢答器(精选)word格式文档
下载八路智能竞赛抢答器(精选).doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    基于CD4511的八路抢答器(合集五篇)

    基于CD4511的八路抢答器 一:摘要 抢答器是开展科普活动经常使用的装置,这里介绍的八路数字显示抢答器用数码管作显示,可使参赛队员和观众都能看到抢答结果,有很好的透明度。......

    八路抢答器实训报告

    八路抢答器实训报告 八路抢答器实训报告 姓名:张伟康 学号:1401020044 班级:电信方向八路抢答器实训报告 一 报告要求 根据实验原理和实验器材设计所需要的电路模型,完成实验......

    单片机课设--八路抢答器(5篇可选)

    河南理工大学本科课程设计 智力竞赛抢答器 摘要 设计要求:1) 能容许2-8组进行抢答。2) 能显示抢答组号。3) 各组记分,并能记分显示。4) 比赛结束时,能发出报警声。 硬件系统设计......

    单片机课设_AT89C51八路抢答器

    《单片机原理及应用》 课程设计 题 目∶ 院 系∶ 专业班级∶ 姓 名∶ 学 号∶ 指导教师∶ 成 绩∶ 流水灯 机电工程系 机电一体化机电0911 晓寒 21 沈全鹏 2011年 12 月 日......

    DIY八路抢答器设计报告总结

    课程设计报告 一、课题名称 设计题目八路抢答器设计I 数字八路抢答器 二、设计任务 1、设计一个八路抢答器,要求具有抢答功能,电路基本要求内含蜂鸣器、显示器等; 2、画出抢答......

    八路智力竞赛抢答器设计实验报告抢答器设计实验报告[5篇范例]

    20XX 报 告 汇 编 Compilation of reports 数字电子技术课程设计 题 目: 八路智力竞赛抢答器设计姓 名: 专 业:电子科学与技术 班 级:122 班 学 号:指导教师: 20年月 日......

    数字电路课程设计八路抢答器附proteus文件下载

    宁波理工学院 数字电路课程设计(论文) 题 目 姓名 学号 专业班级 11通信工程2班 指导教师 学 院 信息科学与工程学院 完成日期 2013年6月6日 I Proteus仿真下载:http://......

    八路抢答器实训报告[小编整理]

    八路抢答器实训报告 姓 名:靳冬雪 专 业:电子信息工程 班 级:电子1101本 学 号:201109910108 指导教师:刘芹 时间:2013.6.29 1 前 言 八路抢答器是一种优先选择的数码器,它采用的......