vissim仿真小结(汇编)

时间:2019-05-12 06:26:02下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《vissim仿真小结》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《vissim仿真小结》。

第一篇:vissim仿真小结

1,输出仿真过程的每辆车在行程及全程的数据:仿真——配置——输出。2,静态路径决策不要被连接器覆盖,视情况尽量设置在交叉口上游远些。

3,每辆车行程时间可与vissim左边栏所有车辆平均行程时间模块所输出数据作对比。4,设置“优先规则”的地方,车道上的车辆会自动变道。(待解决)5,“车压车”的交叉路段目前想到可行的是设置“冲突区域”。

第二篇:交通问题基于vissim仿真研究现状

1.3.1国外交通仿真技术的研究现状

交通系统仿真技术是随着电子计算机和系统仿真技术的发展而发展起来的。在国外大体上经历了三个发展阶段tl3〕。

第一阶段,20世纪40年代末至60年代初,为诞生期。该时期的工作大多讨论的是如何进行交通流仿真,直到大约1%O年,用仿真技术研究交通流状态的可能性和可行性才得到普遍承认,并且开始开发一些交通系统仿真软件。

第二阶段,20世纪60年代初至80年代初,为发展期。该时期,发表了大量的论文和专著,主要都是关于交通流仿真方法及其模型建立的内容。与此同时,大量的交通系统仿真应用软件被开发出来,这些软件可以分为两种类型,一类以宏观交通仿真模型为基础,另一类则以微观交通仿真模型为基础。

第三阶段,20世纪80年代初至现在,为成熟期。这一时期,交通系统仿真技术在美国已经得到了迅速的发展和广泛的应用。本阶段,交通系统仿真技术的发展呈现如下特征:

①系统建模开始突破微观模型与宏观模型,出现了混合模型。一个典型的例子是由schwerdtfeger于1984年提出的DYNEMO仿真模型,采用交通流的一般关系式来描述车流运动,而将每辆车看作是一个基本单元。另外,、乞nAerde于20世纪80年代中期开发的INTEGRATION,混合使用了微观和宏观交通流模型,被认为是准微观模型。

②仿真软件开始向大型化、综合性方向发展。例如,由Hubschnelder

从1983年开始研制的MlsSION软件,既可用于高速公路,又可用于城市道路;既可用于一般的交通流仿真,又可用于公共交通系统的仿真试验。再如,由英国M琳公司开发的T班PS和美国caliper公司推出的肠anscAD软件包,都是以四阶段模型为基础,用于区域交通规划。值得一提的还有,由英国Quadstone公司从1992年开发奴它ARAMIcs,能够持100万个结点,_400万个路段,32000个区域的路网。除此之外,这一时期还研制出用于信号交叉口的CALSIG(1988年)、CAPSSI(1986年)、POSIT(1985年)、SIDRA2.2(1986年)、sIGNA乓55(1986年)、soAP一84(1984年),用于高速公路的CoRQ以及用于乡村道路的TWOPAS等。

③研究重点从软件开发逐渐转向了系统模型的改进,包括模型的精炼,如加入优化子模型和加入有效性测定、仿真模型集成、向个人计算机移植等等。于是,己开发出的软件不断推出新的版本,比如,到1983年,sIGOP己上升为SIGOP一111;到1987年,TRANSYT已经上升为TRANSYT7F;到1985年,FREQ已上升为FREQSPE,TRARR己提出了第三版等等。

中国智能交通网

④新的计算机技术开始用于交通系统仿真,主要表现为仿真界面更加友好,人机交流更加方便。另外,计算机图形技术的应用使得仿真过

程更加透明和直观。其中一个典型的例子是德国卡尔斯鲁厄交通运输与规划公司(PTv—planungsburoTransPortandVerkehr,Karlsruhe)于20世纪80年代末开始研制并于90年代逐渐改进的系列软件,它由用于道路网交通分配的Vl一SUM一IV、用于交通需求预测的VlsEM、用于城市道路交通分析的VISSIM和用于公交线路优化的VISUM一OV四个独立的软件组成。这套软件采用了人机交互的图形化界面,特别是1994年7月推出的VlsSIM2.00版,在Windows3.1环境下运行,可以同时观察多个交叉口的交通状态。随着21世纪的到来,国外对智能交通系统JTS(IntelligentTransPo到通tionSysterms)的研究日益深入,世界各国竞相开展以ITS为应用背景的交通仿真软件的研究,并达到了道路交通仿真研究前所未有的高潮,出现了一大批评价和分析rrs系统效益的仿真软件。如西班牙TSS公司开发的AIMSUN2[‘4],是一个交互式交通仿真模型,主要用于测试和评价新的交通控制系统和交通管理策略,但它同时又能够用于交通状况的预测以及车辆导航系统和其他实时交通信息的应用。

1.3.2国内交通仿真技术的研究现状

与国外相比,国内在道路交通系统仿真方面研究起步较晚。用系统仿真技术进行道路交通的仿真试验开始于20世纪80年代,并且主要集中在高等院校等研究机构。1984年,北京工业大学就开始了交通仿真的研究工作,在以后几年里用各种应用软件对各种交通行为进行仿真研究[l5一];同济大学在20世纪90年代,先后建立了优先控制T型交叉口车辆运行的仿真模型[22]和名为Microsim的高速公路入口匝

道交通仿真软件的对象模型[23],并研制了相应的仿真软件;东南大学于20世纪90年代中后期进行了城市交通网络研究、城市交通实时模糊控制研究1241,提出了单路口交通实时模糊控制方法,还采用动态微观仿真方法研究了路段通行能力,考虑驾驶员、车辆、道路、环境和交通规则的相互关系对通行能力的影响,从微观角度出发建立了仿真模型125][26];清华大学交通研究所于20世纪90年代末期,在Windows平台以面向对象的设计思想开发了名为肠asimul的仿真软件,用于模拟城市平面交叉口的拥挤特性,为缓解城市平交路口的交通拥挤提供了有力工具127];西南交通大学进行了初步的交通系统仿真及在交通控制中的应用研究,利用仿真技术进行了高速公路车头间隙分布规律及其应用的研究;华南理工

大学利用交通仿真分析了信号交叉口的通行能力和服务水平[28][29];上海交通大学建立了宏观交通流分配仿真模型130],实现了路网中的流量分配;北京理工大学开发了城市交通诱导仿真系统;天津大学利用仿真进行了交通流自组织管理控制研究[3’],以交通流元细胞自动机模拟和仿真结果说明交通流中自组织现象并进行了理论分析和数学描述;中国科学技术大学进行了基于微粒跃动模型的趁势交通仿真研究[32〕;吉林大学在交通系统仿真方面也开展了一系列的研究,主要是用GPSS仿真语言对交叉口的交通状态进行仿真研究133]。此外,长安大学[7][34一l、西安交通大学、吉林工业大学[38]、交通部公路科学研究所等单位也开展了交通仿真方面的工作。

目前,交通仿真软件在交通工程理论研究中的应用主要集中在交通流

理论方面。随着计算机技术的迅猛发展,以计算机为辅助工具,利用其可重复性、可延续性模拟交通运行状况,进行交通运行特性和通行能力研究,已成为交通流理论研究的一个发展方向。在通行能力研究方面,国内外都己有利用仿真模型进行通行能力研究的实例。如美国HCS(HighwayC即acitySoftware)软件系统由美国交通运输研究委员会(TRB)研制开发,与美国《道路通行能力手册》HcM配套使用[39]。Hcs系统软件为美国道路运输与交通工程设计、规划与控制提供了良好的服务,发挥了巨大的效用。国内以东南大学为首的一些研究所也开展了对道路路段、交叉口等通行能力的研究。目前,我国道路交通部门正在加紧研究和开发适合中国国情的相关模拟软件,力争使我国的通行能力研究与国际接轨。

第三篇:苏州科技学院交通工程VISSIM微观仿真报告书

道路交通系统仿真技术与应用

——VISSIM微观仿真报告书

学 院:土木学院 专业班级:交通工程 学 号:1120111114

姓 名:** 指导老师:马健

日 期:2014.12.6

目 录

一、实验任务………………………………………………………………………… 1.概述…………………………………………………………………………… 2.实验任务………………………………………………………………………

二、实验目的…………………………………………………………………………

1.实验目的………………………………………………………………………

三、任务分析………………………………………………………………………… 1.几何特性

1.1道路功能……………………………………………………………………… 1.2道路等级…………………………………………………………………… 1.3车道宽度……………………………………………………………………… 1.4车道数………………………………………………………………………… 2.交通特性

2.1流量…………………………………………………………………………… 2.2速度……………………………………………………………………………

四、仿真步骤………………………………………………………………………… 1.交叉口设计…………………………………………………………………… 2.道路仿真……………………………………………………………………… 3.公交站台设计………………………………………………………………… 4.其他………………………………………………………………………………

五、成果展示…………………………………………………………………………

六、数据分析与评价………………………………………………………………

七、个人小结…………………………………………………………………………

八、附件列表…………………………………………………………………………

一、实验任务 1.概述

VISSIM 是一种微观、基于时间间隔和驾驶行为的仿真建模工具,用以建模和分析各种交通条件下(车道设置、交通构成、交通信号、公交站点等),城市交通和公共交通的运行状况,是评价交通工程设计和城市规划方案的有效工具。

VISSIM 由交通仿真器和信号状态产生器两部分组成,它们之间通过接口交换检测器数据和信号状态信息。VISSIM 既可以在线生成可视化的交通运行状况,也可以离线输出各种统计数据,如:行程时间、排队长度等。2.实验任务 1. 任务的对象。

2. 根据附图中给定的道路边线(该线为车行道边线,不包括人行道宽度,但包括有非机动车道宽度)。

3. 根据给定的图纸范围,自己根据道路宽度确定道路功能、等级、车道宽度及车道数。

4. 针对路段或交叉口:自己用CAD工具对路段或交叉口进行交通设计(包括交叉口进口道、渠划、标志标线),对道路交叉口或路段进行评价。

5. 对于路段:主要是车道划分,如果有公交车站,应该设置成港湾式设计。

6. 对于有公交专用线的路段及交叉口进口道进行设计和评价。

二、实验目的 1.实验目的

掌握交通计算机辅助设计实验内容中的vissim仿真任务,并进行初步的应用。

三、任务分析

1.几何特性

1.1道路功能 1.2道路等级 城市道路 1.3车道宽度

车道宽度设置为:南北向3.5*6=21m 东西主路向4.63*4+3.75*4=33.5m 以上设计均不考虑非机动车道及人行道。1.4车道数

主干路双向八车道,支路双向六车道。

2.交通特性

2.1流量:东西向主干路流量为3000辆/小时,南北向为1500辆/小时 2.2速度:主干路为60km/h,支路为40km/h

四、仿真步骤1.交叉口设计

1.道路仿真

2.公交站台和公交路线的设计

3.冲突区域设置

4.信号灯的设置

五、成果展示

六、数据分析与评价

七、个人小结

我们所学的Vissim交通系统仿真是指用系统仿真技术来研究交通行为,它是一门对交通运动随时间和空间的变化进行跟踪描述的技术。从交通技术仿真所采用的技术手段以及所具有的本质特征来看,交通系统仿真是一门在数字计算机上进行交通实验的技术,它含有随即特性,可以是围观的,也可以是宏观的,并且涉及到描述交通运输系统在一定时期实时运动的数学模型。通过对交通系统的仿真研究,可以得到交通流状态变量随时间与空间的变化、分布规律及其与交通控制变量时间的关系。因此,交通系统仿真在道路运输系统及其各组成部分地分析和评价中发挥着重要作用。交通仿真模型与其他交通分析技术,如需求分析、通行能力分析、交通流模型、排队理论等结合在一起,可以对多种因素相互作用的交通设施或交通系统进行分析和评估。

第四篇:化工仿真实习小结

一、实习内容

本学期的化工仿真实习主要完成了以下六个单元操作的练习。

1、离心泵单元:将来自系统外的物料经过阀门送入带压液体储罐,罐内压力由控制器分程控制调节,液位可由液位控制器调节进料量而维持在50%,物料再由泵送至系统外,出口流量可由控制器控制。

2、换热器单元:将来自系统外的冷物料经阀进入本单元,由泵,再经调节器FIC101控制流量送入换热器壳程并加热,经阀出系统。热物料由阀进入本单元,经泵,由温度调节器分程控制主副线调节阀使冷物料出口温度稳定,过主线调节阀的热物料经换热器管程后与副线来的热物料混合后由阀出本单元。

3、液位控制系统单元:本流程有三个储液容器,除原料缓冲罐V101是带压容器,且只有一股来料外,中间储槽V102和产品储槽V103均有两股来料,且为常压储槽。来自系统外一定压力的原料液,控制流量后进入V101,压力由控制器分程控制冲压阀和泄压阀,液位由液位调节器和流量调节器串级控制。V101中液体由泵抽出,经阀送入V102。V102的另一股来料由系统外经阀门控制,V102中的液体靠液位差从其底部流入V103,V103的另一股来料来自系统外,流量由调节器构成比值控制回路。

4、管式加热炉单元:本流程将某可燃性物料经炉膛通过燃料气和燃料油混合燃烧加热至要求温度后送去其他设备。工艺物料首先进入加热炉加热,流量压力可控,采暖水在控制器控制下与加热的烟气换热,回收余热后回采暖水系统。燃料气经压力调节器进入燃料气分液管,分离液体后其中一路经长明线点火燃烧,另一路在点火成功后,控制流量进入加热炉燃烧。当炉膛温度达200℃后,控制雾化蒸汽流量,将燃料油雾化后送入炉膛火嘴燃烧。为保证加热炉内燃油燃气的正常燃烧,应注意调节烟道挡板和风门的适当开度,维持正常炉膛负压和烟道内氧气含量。

5、精馏塔单元:本单元是一种加压精馏操作,原料液为脱丙烷塔塔釜的混合液,分离后馏出液为高纯度C4产品,残液主要是C5以上组分。首先原料液经流量调节器进料,塔顶蒸汽经全凝器冷凝后进入回流罐,回流罐中液体由泵抽出,一部分作为回流液控制流量回塔,另一部分作为产品。回流罐液位由串级回路控制。塔釜液体一部分经再沸器回塔,另一部分作为产品采出,液位由串级回路控制。再沸器用低压蒸汽加热。

6、吸收解吸单元:本单元选用C6油分离提纯混合富气中的C4组分,流程分吸收解吸两部分。

吸收系统:原料气由吸收塔底部进入,与自上而下的贫油逆向接触,富油从塔釜排出,经换热器预热后进入解吸塔。串级控制回路调节塔釜富油采出量来实现对吸收塔塔釜液位的控制。未被吸收的气体由塔顶排出,经冷凝器冷却后进入尾气分离罐回收冷凝液,被冷凝下来的组分与塔釜富油一起进入解吸塔,不凝气被控制排入放空总管。贫油经泵打入吸收塔,在吸收解吸系统中循环。解吸系统:富油预热后进入解吸塔,解吸分离出的气体出塔顶,冷凝后进入回流罐,由泵抽出,一部分回流至解吸塔顶部,另一部分作为产品采出。解吸塔釜的C6油在控制器控制下,经换热器,冷却器返回储罐循环使用。

由于塔顶C4产品中会含有部分C6油,及其他原因会造成C6油损失,所以随生产进行,要定期向罐内补充新鲜C6油。

二、课后习题

离心泵单元

1、简述离心泵的工作原理和结构

答:离心泵的工作原理是依靠高速旋转的叶轮使叶片间的液体在惯性离心力的作用下自叶轮中心被甩向外周并获得能量,直接表现为静压能的提高。当液体自叶轮中心甩向外周的同时,叶轮中心形成低压区,在储槽液面与叶轮中心总势能差的作用下,致使液体被吸进叶轮中心。依靠叶轮的不断运转,液体便连续的吸入和排出。

离心泵的主要部件包括供能和转能两部分。主要有叶轮(关键部件)、泵壳、导轮、轴封装置等。

2、什么叫汽蚀现象?汽蚀现象有什么破坏作用?

答:当叶轮入口附近压力等于或小于输送温度下液体的饱和蒸汽压时,液体将在此处汽化或者溶解在液体中的气体析出并形成气泡。含气体的液体进入叶轮高压区后,气泡在高压作用下急剧缩小而破灭,气泡的消失产生局部真空,周围的液体以极高的速度冲向原气泡所占据的空间,造成冲击和振动。在巨大冲击力反复作用下,使叶片表面材质疲劳,从开始点蚀到形成裂缝,导致叶轮或泵壳破坏的现象为汽蚀。

汽蚀现象会使泵体产生震动与噪音,泵的性能下降,泵壳及叶轮受到冲蚀。

3、在什么情况下会发生汽蚀现象?如何防止汽蚀现象发生?

答:当离心泵的压头较正常值降低3%以上时,(即安装高度过高或叶轮转速过快时)预示着汽蚀现象可能发生。

防止:改变叶轮的进口几何形状,采用双吸式叶轮;采用较低的叶轮入口速度,加大叶轮入口直径;适当增大叶片入口边宽度,也可以使叶轮入口相对速度减少;采用抗汽蚀材料制造叶轮;提高装置有限汽蚀余量,如增大吸入罐液面上的压力,合理确定几何安装高度;减少吸入管路阻力损失,降低液面的汽化压力。

4、为什么启动前一定要将离心泵灌满被输送液体?

答:如果没有在启动前灌满被输送液体,由于空气密度小,叶轮旋转后产生的离心力小,叶轮中心不足以形成吸入储槽内液体的低压,因而虽启动离心泵也不能输送液体,发生气缚现象。

换热器单元

1、冷态开车是先送冷物料,后送热物料;而停车时又要先关热物料,后关冷物料,为什么?

答:开车的顺序可以使机器不会因为物料过热而加速腐蚀;停车时的顺序是为了防止倒吸发生。

2、为什么停车后管程和壳程都要泄液?这两部分的泄液有顺序吗? 答:不泄液的话留在机器里面会腐蚀仪器的。先泄掉管程再泄掉壳程。如果先泄掉壳程的话,在泄掉管程时又会有液体流到壳程里。

3、传热有哪几种基本方式?各自的特点是什么?

答:①热传导:热从物体的高温部分沿着物体传到低温部分;②热辐射:靠液体或气体的流动实现传递的方式;③热对流:高温物体直接向外发射热

4、影响间壁式换热器传热量的因素有哪些? 答:壁厚、材料、介质、粘度、管径等。液位控制系统单元

1、本仿真培训单元包括串级、比值、分程三种复杂控制系统,说出它们的特点,它们与简单控制系统的差别是什么?

答:串级控制系统 ——如果系统中不止采用一个控制器,而且控制器间相互串联,一个控制器的输出作为另一个控制器的给定值,这样的系统称为串级控制系统。串级控制系统的特点: ①能迅速地克服进入副回路的扰动;②改善主控制器的被控对象特征;③有利于克服副回路内执行机构等的非线性。比值控制系统—— 在工业生产过程中,实现两个或两个以上参数符合一定比例关系的控制系统,称为比值控制系统。比值控制系统可分为:开环比值控制系统,单闭环比值控制系统,双闭环比值控制系统,变比值控制系统,串级和比值控制组合的系统等。

分程控制回路 ——一台控制器的输出可以同时控制两只甚至两只以上的控制阀,控制器的输出信号被分割成若干个信号的范围段,而由每一段信号去控制一只控制阀。

简单控制系统——单回路控制回路又称单回路反馈控制。由于在所有反馈控制中,单回路反馈控制是最基本、结构做简单的一种,因此,它又被称之为简单控制。单回路反馈控制由四个基本环节组成,即被控对象(简称对象)或被控过程(简称过程)、测量变送装置、控制器和控制阀。

管式加热炉单元

1、烟道气出口氧气含量为什么要保持在一定范围?过高或过低意味什么? 答:通过控制烟道气出口氧气含量范围,来保持燃料与空气量的正确比例,从而达到最小的热损失和最大的热效率。

如果氧含量太高,就会相应加热多余的空气,大量的热量随烟气被排出,使能耗增加,燃烧效率降低;反之氧含量太低,则燃料不完全燃烧,热量损失上升。

2、加热过程中风门和烟道挡板的开度大小对炉膛负压和烟道气出口氧气含量有什么影响?

答:风门开度大大量空气入炉使炉膛负压减小,热效率低,烟道气出口氧气含量增加;烟道挡板开度大使炉膛负压增大,造成空气大量漏入炉内,热效率低,烟道气出口氧气含量增加。因而,在实际操作中,加热炉的风门和烟道挡板要密切配合调节,保证一定的抽力,控制一定过剩空气系数,提高热效率,延长加热炉管的使用寿命。

3、本流程中三个电磁阀的作用是什么?在开/停车时应如何操作? 答:三个电磁阀为三个联锁阀S01、S02、S03,为保证安全正常运行。

在开/停车时应先摘除连锁,才能进行操作。

精馏塔单元

1、什么叫蒸馏?蒸馏和精馏有何不同?它们在化工生产中的作用是什么? 答:蒸馏是分离液体混合物最常用,最早实现工业化的典型单元操作。它是通过加热液体混合物造成气液两相体系,利用混合物中各组分挥发度的差异而实现组分的分离与提纯的操作过程。

精馏是利用混合液中组分挥发度的差异,实现组分高纯度分离的多级蒸馏操作,同时进行多次部分汽化和部分冷凝的过程。

蒸馏和精馏的根本区别是精馏具有回流。蒸馏按其操作方式可分为简单蒸馏、平衡蒸馏、精馏和特殊精馏等。简单蒸馏和平衡蒸馏适用于易分离物系或分离要求不高的场合;精馏适用于难分离物系或对分离要求较高的场合;特殊精馏适用于普通精馏难以分离或无法分离的物系。

2、精馏的主要设备有哪些? 答:精馏主要利用板式塔,填料塔。

其中主要设备有精馏塔、塔顶冷凝器、塔底再沸器、原料预热器、回流罐、回流泵等。

3、列出塔顶温度和压力、塔釜液位和温度的影响因素。

答:塔顶温度压力——进料量,进料热状况参数,回流比,塔顶产品采出量 塔釜液位和温度——塔釜产品采出量,加热蒸汽量

4、控制塔顶压力有几种方法?哪种最好?

答:可以通过调节PC101和PC102;可以用调节塔釜加热蒸汽量的方法;调节原料液流量的方法。第一种好。

吸收解吸单元

1、试从操作原理和本单元操作特点分析一下吸收段流程压力比解吸段压力高的原因。答:压力大的时候,溶解度大,有利于吸收。压力小,溶解度小,利于解吸。

2、从全流程能量合理利用角度分析换热器E-103和E-102的顺序和原因。答:应该先从E-102到E-103,应为E-102里面的流体温度较低些,这样走完E-102可以直接去E-103,省的在用新的原来还需额外的加热。

3、若发现富油无法进入解吸塔,会有哪些原因?应如何调整。

答:①可能是应开的阀门没全开,这种情况仔细检查通道阀门,打开需要打开的阀门;②吸收塔压力太低,此时通过调节PIC103,FV103以及V1阀门增大吸收塔的压力;③管道堵塞。此时需及时清除堵塞物即可。

三、心得体会

通过本学期对化工仿真实习这门专业课的学习,深切体会到课本原理内容的学习与实际操作之间的区别与联系。

首先,原理的学习与实际操作到底是不同的。之前在学习化工原理课程时,重要的在于对每个单元操作原理的理解,以及对所涉及到的计算公式,公式中每个量的理解,对设计型和操作型问题的相关计算等;但是在仿真实习中,却更加侧重操作的工艺流程,诸如阀门的开关问题、开度问题、顺序问题、甚至是应急处理等这些更加接近实际操作的方面。

当然,课本原理内容的学习与仿真实习操作之间也有着密不可分的联系。对一个单元的过程控制,既要有之前学过的原理知识做铺垫,也要辅以灵活正确的实际操作。

之前在学习原理部分知识时觉得还不算很难,但我们在实验过程中常会出现手忙脚乱忘记开关阀门,忘记调节阀门开度等问题,最终造成“危险”的结果,所幸只是仿真模拟,但也反映出我们还是不能很好地将所学基础知识与实际操作相联系;遇到“意外”情况不够镇静,不能很快反应与做相应的补救措施;另外可能也是由于经验不足,很多时候调控不到位,完全是靠之后的“补救”措施解决,使得整个系统不能很快地稳定,甚至“大起大落”,这些在未来的实际操作中都将会是严重的问题。

总而言之,对这次的仿真实习还是感到受益匪浅的。

第五篇:modelsim仿真小结(最终版)

Modelsim仿真小结

Modelsim的基本仿真流程大致分以下几个步骤:建库、编译工程、前后仿真、调试等。Modelsim仿真既可以在modelsim界面操作,也可以用do文件实现,这里结合学习的教程、网上看到的资料,和实际遇到的一些问题,分别做一整理小结。

1.建库

建库包括Altera库和Xilinx库,同时都包括Verilog和VHDL。这里只建了Verilog库,VHDL和Verilog步骤相同。

对于Altera库主要包括lpm元件库、Mega_Function库atera_mf、altera原语库altera_primitive和各器件系列模型库。前三种是调用altera模块的必备库,第四种是进行综合后功能仿真和布线后时序仿真需要的库,和器件系列有关,只选对应系列即可。

Altera库创建和编译步骤如下:

a)在Modelsim安装目录下新建文件夹,命名altera_lib,以存放编译后的库文件,可以在altera_lib下新建Verilog和VHDL两个子文件夹,分别存放Verilog和VHDL库。b)打开Modelsim,新建Library,file->new->library..c)如下图,创建lpm库,路径E:modeltech_10.1aaltera_libVeriloglpm

d)添加库文件,并编译,compile-> compile …,出现compile source files窗口,library 指定到lpm下,查找范围,选quartus安装目录下… edasim_lib目录里的仿真原型文件:220model.v,点compile,点done。

到此,lpm库建立完毕。

e)同理,建立altera_mf库添加 altera_mf.v,建立primitive库添加altera_primitive.v 建立各系列的模型库,命名可用系列名加_ver“xxx_ver”,也可随意吧,添加各系列的xxx_atoms.v。

这里,也可以把以上库放在一个文件夹,这样做简单,一次就搞定,分开也就是条理清楚,没人去看,所以没必要。

f)修改modelsim.ini文件,为的是让modelsim能自动map到已经编译的这些库上。先去掉只读属性,在[Library]和[vcom]之间加上:库名=库路径[绝对路径或者相对路径],相对路径是相对于modelsim安装路径,modelsim安装路径用$MODEL_TECH表示。

这里所有库都放在E:modeltech_10.1aaltera_lib下,库名是altera_lib,这里只添加一句: altera_lib = E:modeltech_10.1aaltera_lib

g)保存退出,改回modelsim.ini只读属性,防止乱改。至此altera库建立完毕。

Xlinx库创建和编译步骤如下:

相比altera,xilinx建库很方便。xilinx有批处理命令,直接在console命令窗口,输入compxlib命令,调出编译库的窗口,先改掉modelsim安装目录下modelsim.ini只读属性。设置如下图:

Next,选择语言:

Next,选择需要编译的器件:

Netx-> next,选择输出路径,launch compile process,等待编译完成。完成后改回modelsim.ini只读属性。至此xilinx库建立完毕。

2.编译工程

编译工程主要包括:code、testbench、建立工程、建立工程库、映射、编译等。Code主要有code思想和风格,在Verilog部分涉及。Testbench,下边给出一个公用模板,基于这个方便修改,可以根据自己的习惯建一个temp_tb.v。

Modelsim界面编译工程:

a)新建工程:打开modelsim,file-> new-> project..,指定工程名、路径、工程库。

b)Add existing file

c)Add testbench file ….d)编译,compile –> complie all

e)编译语法查错,直到编译通过。至此编译完毕。

3.功能和时序仿真

仿真是在编译的基础上,进行的功能调试,包括综合前功能仿真、综合后功能仿真和布局布线后时序仿真。

Modelsim界面综合前仿真:

a)指定顶层,开始仿真;simulation-> start simulation …,在work 库中,指定testbench,ok,开始仿真。

b)查看仿真结果,打开wave,信号,加入wave

c)运行,查看波形。Run-> run all ….至此,简单的modelsim界面综合前仿真介绍完毕。

Modelsim界面综合后仿真:

综合后仿真需要quartus综合后的网表文件(以altera为例),a)综合后的网表的生成: quartus工程名右键-> setting –> EDA Tool Setting, 双击Simulation,选择EDA软件modelsim,选择输出网表路径,如图

b)More settings ….将 Generate netlist for functional simulation only 设置为ON

c)保存,全编译。

d)

检查一下„/modelsim/Psyth/source 里面,可以发现,已经生成了一个*.vo 文件。这个就是需要的网表文件。(VHDL 的输出网表是*.vho 后缀名的)

e)将vo文件添加到工程,指定TestBench 文件top_tb.v,同前边一样进行仿真。

e)得到的仿真结果

Modelsim界面布局布线后仿真:

布局布线后仿真需要综合网表文件和添延时文件*.sdo(Verilog)或*_vhd.sdo(VHDL);

a)QuartusII 里面设置重新把Generate netlist for functional simulation only 设置成OFF。

b)指定延时文件

这里,region要为顶层文件在tb里的例化名。c)运行,查看波形,波形带有延时

4.用tcl文件.do 进行modelsim仿真

在modelsim中使用do文件是非常方便的进行仿真的一种方法,的项目比较大,特别是几个人分开做的时候,前后模块的联合仿真比较重要,查看的信号比较多,使用了do文件可以自动仿真,省去很多体力活。

下面是编写do文件的一般步骤:

a).quit-sim : 首先退出原来仿真的工程。

b).cd : 设置工作目录的路径,就是你所要建立的工作目录work要放在哪里。

c).vlib work

: 在工作目录下建立一个work目录,请注意不要用操作系统直接新建一 个work的文件夹,因为用操作系统建立的work文件夹并没有modelsim SE自动生成的_info文件。还有个问题是,当你的软件工程里需要用到软核时,可能会需要一些库,但这些库ISE软件中是没有的,此时就需要我们自己新建库了,并映射到当前目录下。我们只自己新建的库一般放在work库前面建立。

d).vmap work : 将新建的work库映射到当前工作目录下(当前目录就是第一步中的目录了)。其他新建的库也要这样的方法映射。

e).vlog +acc –work work “file_path/*.v” : 编译“file_path”目录下所有.v文件,并将 其添加进工作库(work)中,包括IP生成的V文件也要编译的。或者:vlog-f top_tb.f,top_tb.f是.v的列表文件。编译时可以分开单独编译,但是一定要注意顺序,先编译被调用的文件。在综合后仿真和布局布线后仿真的时候,这里添加综合后网表和tb即可。VHDL 用vcom编译。

对于xilinx工程在仿真的时候还需要用到一个文件,那就是glbl.v这个库文件。它是存放在xilinx安装目录下,我们直接编译它并添加时工作库(work)就可以了。特别需要说明的是,在仿真软核的时候,在XPS软件里点击simulation-> generate simulation hdl files会在工程目录中生成一个simulaton文件夹,这个文件夹里的东西就是软核仿真时所需要的仿真文件了。所以我们需把simulaton里所以的v文件都编译一次,这样才能仿真软核。

f).vsim-L altera_lib-novopt work.top_tb : 这是没有调用IP Core时的仿真命令,注意后面的参数top_tb必须为Testbench中的模块名。布局布线后仿真是这里要指定延时文件,vsim-L altera_lib-novopt-sdfmax /top_tb/top=top_v.sdo work.top_tb g).do vawe.do 运行添加wave tcl,这里可以给信号分组,添加颜色,设置波形属性等。h).run –all 设定运行时间,run 1000ms等。

i).在do里可以用file copy/file delete 来对临时文件进行操作。

至此,modelsim仿真总结完毕。这里仅为了简单记录仿真方法和流程,旨在简单明了,对更深入的和更详细的有待进一步补充。

附件 一、testbench 模板

//////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: // Design Name: // Module Name: // Target Device: // Tool versions: // Description: //

// Dependencies: //

// Revision: //

// Additional Comments: //

////////////////////////////////////////////////////////////////////////////////

`timescale 1ns / 1ps module top_tb;

//*************************Parameter Declarations****************************** parameter

CLK_PERIOD = 20;//clk = 50Mhz

//************************Internal Declarations*********************** //************************** Register Declarations ****************************

reg

clk;reg

reset;

//********************************Wire Declarations************************** //******************************Main Body of Code*************************** //--initial reg data--initial begin

end //----------Generate Reference Clock input to tb----------------

initial

begin

clk = 1'b1;end

always

#(CLK_PERIOD/2)clk =!clk;

//--Resets--

initial

begin

rst_n = 1'b1;

#(10*CLK_PERIOD)rst_n = 1'b0;

#(100*CLK_PERIOD)rst_n = 1'b1;

end

//-----------------------------Data Transfer--

initial begin

#(10*CLK_PERIOD);@(negedge data)

begin

end end

//-----------------------------simulation end--

initial begin #(10*CLK_PERIOD);if()

//end sign

begin

$display(“-------TEST PASSED-------”);

end else begin

$display(“

ERROR: TEST FAILED!

”);end $stop;end

//------------------------Instantiate TOP module------------------------

top #(.parameter1

(1),//.parameter2

(0)

//)top_inst(.a(a),);

Endmodule ////////////////////////////////////////////////////////////////////////////////

附件二、do 模板

//////////////////////////////////////////////////////////////////////////////// #!/bin/bash #this bash shell document is used to verilog project simulation #author:iyoyoo

echo “***************** end pre-project ********************************” quit-sim

echo “***************** go to work dir ********************************” #cd / #cd D:/quartus/EP4CE15F/lcd/simulation

echo “***************** start to setup work lib************************” vlib work echo “***************** start to compile ******************************” vlog-f top_tb.f

echo “***************** set up reference library ***********************” vmap altera_lib E:/modeltech_10.1a/altera_lib

echo “***************** delet pre-temp files *************************” #file delete../simulation/pika_ani.mif #file delete../simulation/modelsim/top_v.sdo

echo “***************** copy temp file *********************************” #file copy../source/pika_ani.mif../simulation/ #file copy../simulation/modelsim/top_v.sdo../simulation/

echo “***************** start to simulation **************************” #-pli novas.dll

---for debussy #-sdfmax /top_tb/top=top_v.sdo

-----for post synthesis sim

vsim-L altera_lib-novopt work.top_tb

echo “***************** add signal to vawe **************************” do vawe.do

echo “***************** start to run

******************************” run-all

echo “***************** delete temp files ***************************” #file delete../simulation/pika_ani.mif #file delete../simulation/modelsim/top_v.sdo ////////////////////////////////////////////////////////////////////////////////

附件三、file.f模板

//////////////////////////////////////////////////////////////////////////////// +licq_all+ +access+r

//../simulation/modelsim/top.vo

-for post synthesis simulation

../source/top.v

../simulation/top_tb.v

+libext+vmd+.v-y $QUARTUS_ROOTDIR/eda/sim_lib ////////////////////////////////////////////////////////////////////////////////

附件三、file.f模板

////////////////////////////////////////////////////////////////////////////////

view signals stucture wave onerror {resume} quietly WaveActivateNextPane {} 0

#-color Green for all signal is green #-color Green Blue Yellow Pink Orchid Red Salmon Orange

# top_tb group add wave-itemcolor Blue-noupdate-expand-group top_tb-format Logic-radix hexadecimal /top_tb/*

# sub group add wave-itemcolor Green-noupdate-expand-group sub-format Logic-radix hexadecimal /top_tb/sub/*

TreeUpdate [SetDefaultTree] WaveRestoreCursors {{Cursor 1} {10000 ns} 0} configure wave-namecolwidth 364 configure wave-valuecolwidth 100 configure wave-justifyvalue left configure wave-signalnamewidth 0 configure wave-snapdistance 10 configure wave-datasetprefix 0 configure wave-rowmargin 4 configure wave-childrowmargin 2 configure wave-gridoffset 0 configure wave-gridperiod 1 configure wave-griddelta 40 configure wave-timeline 0 configure wave-timelineunits ps update WaveRestoreZoom {10000 ns} {10000 ns}

////////////////////////////////////////////////////////////////////////////////

下载vissim仿真小结(汇编)word格式文档
下载vissim仿真小结(汇编).doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    仿真中心主任个人工作小结

    在仿真中心工作了若干年,也担任过十多年的副职,但XX年挑起了部门主持工作的担子,倍感压力剧增。好在上级领导的指导与关心及同事们的大力支持和共同努力,仿真中心的教学、科研、......

    VISSIM 实验与交通设施设计任务

    VISSIM 实验与交通设施设计任务 适用专业:_____ 姓名:_____学号:_____(尾数为28) 说明:本任务把交通计算机辅助设计实验内容中的vissim仿真任务整合在交通设施设计的课程设计中。vissim仿真具体......

    电力系统仿真

    1、潮流计算 电力系统的潮流计算,是指在给定电力系统网络拓扑结构,元件参数和发电负荷参量条件下,计算有功功率、无功功率及电压在电力网中的分布。通常给定的运行条件有系统中......

    仿真实习[范文大全]

    3.仿真实习仿真实习是在电厂实习后在电脑上仿真模拟电厂的各个系统,可以让我们更好地了解电厂设备运行中的状态,可以模拟电厂运行中的故障,帮助我们更好地了解电厂的设备的运......

    仿真总结报告

    成都信息工程学院电子工程学院[项目名称]仿真总结报告拟制部门______________________ 拟 制 人______________________ ______________________ 审 核 人_________________......

    仿真总结报告

    成都信息工程学院电子工程学院 [项目名称] 仿真总结报告 拟制部门______________________ 拟 制 人______________________ ______________________ 审 核 人_____________......

    仿真实验报告

    仿真软件实验实验名称:基于电渗流的微通道门进样的数值模拟实验日期:2013.9.4一、实验目的1、对建模及仿真技术初步了解2、学习并掌握Comsol Multiphysics的使用方法3、了解电......

    物理仿真实验报告

    物理仿真实验报告 良导体热导率的动态法测量 日期年 月 日 姓名学号 班级 学院 评分 教师签名 实验简介: 在测量热导率的实验中,最普遍采用的方法是稳态法,即在保持被测样......