数字逻辑课程设计-数字钟

时间:2019-05-14 18:42:58下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《数字逻辑课程设计-数字钟》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《数字逻辑课程设计-数字钟》。

第一篇:数字逻辑课程设计-数字钟

安徽工业大学

《数字逻辑》课程报告

课程名称:数字钟

姓名: 专业班级: 指导教师:

2013/05/31

1.数字钟的组成及基本原理

图A 如图A所示,数字钟电路系统由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,即:能准确计时,以数字形式显示小时、分秒的时间;小时计时以“24进1”,分和秒的计时以“60进1”;具有校正时和分的功能。扩展电路完成数字钟的扩展功能。

1.1系统的工作原理:

振荡器产生稳定的高频脉冲信号,作为数字中的时间基准,然后经分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。计数器的输出分别经译码器送显示器显示,计时出现误差时可以进行校时、校分。各扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。

2.各单元电路的基本原理

2.1振荡器电路

振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟的准确程度。一般来说,振荡器的频率越高,计时精度越高,但耗电量增大且分频级数多。一般有如下几种方案构成振荡器电路:

方案1:如图1-1所示为电子手表集成电路中的晶体振荡器电路,常取晶振的频

率为32768Hz,因其内部有15级2分频集成电路,所以输出端正好可得

到1Hz的标准脉冲。该方案优点是走时准确及稳定,集成度高,所需芯

片少。方案2:由集成电路定时器555与RC组成的多谐振器,电路图如图1-2。输出频

率为1000Hz。该方案的优点是起振容易,振荡周期调节范围广,缺点是

频率稳定性差,精度低,所以在本实验中不宜使用。

方案3:由集成逻辑门与RC组成的对称式多谐振荡器,可以输出频率为1MHz的脉冲。该方案的优点是精度高,集成简单,所需元器件少。

由于此次设计所提供的芯片主要是74ls00且方案三精度较高,连线简单所以选用方案三。

2-1

2-2 2.2分频器电路

分频器的功能主要有两个:一是产生标准秒脉冲信号,二是提供功能扩展电路所需要的信号。选用中规模集成芯片74ls90可以完成上述功能,用6个级联即可以得到1Hz的脉冲,该方案原理简单,易于调试,且可以得到各种频率的脉冲,适合功能的扩展。因此此次设计选用该方案。

2.3计数器电路

分和秒都是模M=60的计数器,它们的个位都是十进制计数器,而十位则是六进制计数器。时计数器是一个“24翻1”的特殊进制计数器,即当数字钟的计时器运行到23时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为00时00分00秒,实现日常生活中习惯用的计时规律。修改由于都不多于十进制,则可以用6个中规模集成电路计数器74ls90来实 现计数。该方案功能灵活,芯片统一便于调试与组装。

2.4校时校分电路

当数字钟接通电源或者计时出现误差时,均需要校正时间。对校时电路的要求是,在进行小时校正时不影响分和秒的计时,同理,在进行分校正时不影响时和秒的正常计数。其实现方法可以是将校时校分信号直接加到分、时计数器上,因此校时校分电路实际上是一个输入信号的转换开关。以下是几种方案:

方案1:简单的手动开关,如图1-4-1所示,正常工作时,s指向A,校时时只

需使s指向B。这种电路简单,但是开关的通断产生随机的机械抖动信

号,不易控制其稳定性。

方案2:如图1-4-2所示,用三个与非和一个可调电位实现信号的转换,当正常

工作时,电位器动滑头指向B,这时CP=C0;当需要校时,动滑头指向A,此时CP等于秒脉冲,两个电容可以滤去滑动中产生的干扰信号。

方案3:三个与非门和基本RS触发器。基本RS触发器可以完全消除开关的机械

抖动,是最佳的一种校时校分电路。

1-4-1

2-4-2

2.5扩展电路

随着技术的发展,这种具有基本功能的数字钟并不能满足人们的要求,所以通常要根据不同人的需要进行功能的扩展,下面按照人们常用到的数字钟功能提供了几种扩展电路方案:

方案1:仿广播电台整点报时电路。要求是:每当数字钟计时到整点(或快到整

点时)发出音响,通常按照4低音1高音的顺序发出间断声响,一最后

一声高音结束的时刻为整点时刻。

方案2:定时控制电路。定时控制电路可以使数字钟在规定的时刻发出信号,或

驱动音响电路进行“闹时”;或控制某装置电源的接通或断开实现定时控

制。具体电路图见图1-6-1 方案3:报整点时数电路。功能是:每当数字钟计时到整点时发出声响,且几点

响几声。实现这一功能的电路要经过三个阶段的工作:分进位脉冲到来

时小时计数器加1;报时计数器应记录此时的小时数;报时计数器开始

做减法计数,每减一个脉冲,音频电路鸣叫一声,直到计数器的值为零。

具体电路如图1-6-2。此方案较为复杂。

由于材料有限,本次设计选用接法较为简单但功能实用的方案1

2-6-1 闹时电路

2-6-2 报整点时数电路

3、具体电路及参数计算

3.1振荡器

选用由集成逻辑门与RC组成的时钟脉冲源振荡器,可以输出频率为1MHz的脉冲。具体方案电路如下图3-1

3-1 对称式多谐振荡器

3.2分频器

本设计采用6片74ls90级联成610分频电路得到1Hz频率脉冲,且可以得到用于扩展电路所需要的各种频率。具体接线图如下图2-2

3-2 分频电路

3.3时分秒计数器

选用6片74ls90来实现计数功能,其中分个位、秒个位及时个位是十进制,分十位和秒十位是六进制,时十位只能显示0、1、2三个数字。如图2-3-1。分计时和秒计时中当Q1、Q2全为1时,R01、R02均为高,计时器清零实现60进制。如图2-3-2,时计数中当十位Q1和个位Q2均为1时,十位个位上R01、R02 全为高,计时器清零实现24进制。

3-3-1 二十四进制计数器

3-3-2 六十进制计数器

3.4译码显示电路

本设计使用BS201和CD4511配套使用实现译码显示功能。下图为一个一码显示的配套电路,本次设计中需使用6套来显示我们所需要观察到的数字。

译码显示电路

3.5校时校分电路

本次设计采用方案3,用三个与非门和基本RS触发器来实现校分/时功能。其中基本RS触发器可以完全消除开关的机械抖动。具体电路如图3-5

3-5 校时校分电路

3.6整点报时电路

仿电台整点报时要求在快到整点时按4低音1高音的顺序发出间断声响,一最后一声高音结束的时刻为整点时刻。设4声低音(采用50HZ分别发生在59分51秒、53秒、55秒、57秒、59秒,它们的持续时间为1S。由此可见,分十位和个位的计数器的状态分别为秒十位计数器的状态为ABCDM2QQQQ=0101,ABCDM1QQQQ=1001,秒十位计数器的状态为ABCDS2 QQQQ=0101。秒个位计数器DS1Q的状态可用来控制500HZ和50HZ 的音频。表2-6-1列出了秒各位计数器的状态,由表可得只有当CM2AM2QQ=11,DM1AM1QQ=11,CS2AS2QQ=11及AS1Q=1时,音响电路才能工作。音响电路中采用射级输出端,推动8欧德蜂鸣器,三极管基极串接1K欧限流电阻,是为了防止电流过大损坏蜂鸣器,三极管选用高频功率管即可,本设计使用8085NPN型三极管,具有方向特性可以节约一个非门。整点报时的电路图如图3-6

3-6 整点报时电路

第二篇:数字电子技术课程设计报告(数字钟)

目录

一. 设计目的„„„„„„„„„„„„„„„

二. 实现功能„„„„„„„„„„„„„„„

三. 制作过程„„„„„„„„„„„„„„„

四. 原理框图„„„„„„„„„„„„„„„

4.1 数字钟构成„„„„„„„„„„„„„„„

34.2设计脉冲源„„„„„„„„„„„„„„„

44.3 设计整形电路„„„„„„„„„„„„„„

4.4 设计分频器„„„„„„„„„„„„„„„

4.5 实际计数器„„„„„„„„„„„„„„„

64.6 译码/驱动器电路的设计„„„„„„„„„„„ 7

4.7 校时电路„„„„„„„„„„„„„„„„ 8

4.8 整点报时电路„„„„„„„„„„„„„„

4.9 绘制总体电路图„„„„„„„„„„„„„

五. 具体实现„„„„„„„„„„„„„„„

5.1电路的选择„„„„„„„„„„„„„„„

5.2集成电路的基本功能„„„„„„„„„„„„ 10

5.3 电路原理„„„„„„„„„„„„„„„„

六. 感想与收获„„„„„„„„„„„„„„„ 12 七. 附

录 „„„„„„„„„„„„„„„ 数字电子技术课程设计报告

一、设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。

石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。

二、实现功能

① 时间以12小时为一个周期; ② 显示时、分、秒;

③ 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④ 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; ⑤ 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

三、制作过程

1.确立电子数字计时器的制作思路

要想构成数字钟,首先应有一个能自动产生稳定的标准时间脉冲信号的信号源。还需要有一个使高频脉冲信号变成适合于计时的低频脉冲信号的分频器电路,即频率为1HZ的“秒脉冲”信号。经过分频器输出的秒脉冲信号到计数器 中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,这就需要分别设计60进制,24进制,(或12进制的计时器,并发出驱动AM;PM的标志信号)。各计数器输出的信号经译码器/驱动器送到数字显示器对应的笔划段,使得 “时”、“分”、“秒”得以数字显示。

任何数字计时器都有误,因此应考虑校准时间电路,校时电路一般采用自动快调和手动调整,“自动快调”是利用分频器输出的不同频率脉冲使得显示时间自动迅速的得到调整。“手动调整” 是利用手动的节拍调整显示时间。

2.查阅资料绘出各部分的电路图(详见原理框图)

数字计时器的设计方法:(1)设计脉冲源(2)设计整形电路(3)设计分频器(4)设计计数器(5)译码器/驱动器(6)设计校时电路

3.按所设计的电路去选择、测试好元器件、并装配成为产品

4.准备设计论文答辩

四、原理框图

1.数字钟的构成

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

数字钟组成框图

2.设计脉冲源

自激式振荡电路有:自激多谐振荡器,激间歇振荡器这次我们选择晶体振荡器原因如下: 由于通常要求数字钟的脉冲源的频率要十分稳定、准确度高,因此要采用石英晶体振荡器,其他的多谐振荡器难以满足要求。石英晶体不但频率特性稳定,而且品质因数很高,有极好的选频特性。晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。石英晶体振荡器的频率取决于石英晶体的固有频率,与外电路的电阻电容的参数无关一般情况下,晶振频率越高,准确度越高,但所用的分频级数越多,耗电量就越大,成本就越高,在选择晶体时应综合考虑。

一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。如图(b)所示,由CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。

(a)CMOS 晶体振荡器(仿真电路)

3.设计整形电路

由于晶体振荡器输出的脉冲是正弦波或是不规则的矩形波,因此必须经整形电路整形。我们已学过的脉冲整形电路有以下几种:削波器、门电路、单稳态电路、双稳态电路、施密特触发器等。通过查阅资料主要使用施密特触发器:

门电路组成的整形电路

4.设计分频器

分频器 —— 能将高频脉冲变换为低频脉冲,它可由触发器以及计数器来完 成。由于一个触发器就是一个二分频器,N个触发器就是 2N个分频器。如果用计数器作分频器,就要按进制数进行分频。例如十进制计数器就是十分频器,M进制计数器就为M分频器。若我们从市场上购买到石英晶体振荡器其频率为32768HZ,要想用该振荡器得到一个频率为1HZ的秒脉冲信号,就需要用分频器进行分频,分频器的个数为2N =32768HZ,N =15 即有15个分频器。这样就将一个频率为23768HZ的振荡信号降低为1HZ的计时信号,这样就满足了计时规律的需求:60秒=1分钟,60分=1小时,24小时=1天。

5.设计计数器

计数器的设计,以触发器为单元电路,根据进制按有权码或无权码来编码,采用有条件反馈原理来构成。当 “小时” 的十位为2;个位为3时,只要个位数

“分”

有进位时,就应使十位的“小时 ”的位数归零,因此24小时进制计数器要采用有条件反馈的设计。(12进制计数器也同理);但应在归零的同时发出驱动AM(上午)、PM(下午)标志的信号。

按规律,一般设计计数器的方法

秒部分:个位选用模10计数器;十位选用模6计数器 分部分:个位选用模10计数器;十位选用模6计数器 小时部分:模12计数器;或模24计数器 6.译码/驱动器电路的设计

在数字系统中常常需要将测量或处理的结果直接显示成十进制数字。为此,首先将以BCD码表示的结果送到译码器电路进行译码,用它的输出去驱动显示器件,由于显示器件的工作方式不同,对译码器的要求也就不同,译码器的电路也不同。数字显示的器件的种类:荧光管、辉光管、发光二极管、液晶显示屏等.译码器电路:此次我们选择的是LED共阳极发光二极管显示器 显示电路如下: 原理图

7.校时电路

校时电路是计时器中不可少的一部分因为当即时间与计时器时间不一致时,就需要校时电路予以校正。校时电路有两种方案:第一、校时用的脉冲可选用频率较高的不等的几种脉冲,从计数器的总输入端(秒计数器的第一级输入端)送入。

第二、校时用的脉冲,分别将秒脉冲送到“计小时”的计数器的输入端,“计分”的计数器输入端,但校时、校分时,应将原计数回路关闭或断开。校秒时可采用关闭或断开秒计数器的脉冲信号输入端使其停止计时 8.整点报时电路

电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号。

当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的QC和QA、个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。

实现方式:

说明:当时间在59分50秒到59分59秒期间时 分十位、分个 位和秒十位均保持不变,分别为5,9和5;因此,可以将分计数器十位的Qc和QA,个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。IO1分计数器十位的Qc和QAIO2U1VCC15VVCC2345VIO3分计数器个位的QD和QAX18IO456114V_0.5WIO512秒计数器十位的QC和QA74HC30DIO6数字钟设计-整点报时电路部分 9.绘制总体电路图

五:具体实现

1、电路的选择:

我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体作为稳频元件,准确又方便。

数字钟专用集成块如下:

a.译码/驱动电路:LM8361,M8560,LM8569,TMS3450NL,MM5457,MM5462集成电路,因为它在所有型号中静态功耗最低。其管脚图见图(12)

b.分频器:我们采用了CD4060。

c.反相器: 我们选用了CD4069(内含有六个反相器)。

2、集成电路的基本功能

(1)CD4060:它是一个十四级二分频器,它所产生的信号频率为30720HZ,经九级两二分频后,得到一个60HZ的脉冲信号,见图。

(2)CD4069反相器: F1—F6六个反相器,通过外接电路去控制各电路的工作状态,管脚见图:

(3)MM5462: 它是集译码/驱动电路为一体,它是60HZ时基24小时专用集成电路。1-4,6-12,22十三个端子是显示笔划输出的,1脚是四个笔划,其余每脚输出二个笔划,16脚为正电源,5脚为负电源,20脚睡眠输出是直流信号,由17脚动和关闭,由13脚调整至需要值,最大值59分钟倒计时。17脚是内部振荡器RC输入端,该振荡信号一是作为外部时基的备用,二是13闹输出的信号源。在我们选用的这套套件没有用20脚的睡眠功能。19脚为时基信号输入脚。14、15、18脚是操作控制端,若接高低电平各有不同的功能。值得注意的是所有的输出端均为低电平有效。

、3、电路原理:(见图原理方框图)

CD4060 CD4069 变压器将交流220V电压,变为双7.5V交流低电压,经全波整流后路经D

411 供显示屏驱动电路,而另一路经滤波后供主电路。由于时钟需要脉冲源,我们选用了JT,R1,C3和CD4060内部的两个反相器组成的晶体振荡器,目的是为了提脉冲源的稳定度,而脉冲源产生的波形不是规则的矩形波,因此,需经整形器整形后,送到下一级,由于脉冲信号源的频率较高,经CD4060九级分频及计数后变换低频脉冲信号。由13脚得到60HZ的脉冲信号一路送入MM5461的19脚,另一路去控制由F4,Q2,Q3组成的显示屏驱动电路。由于F4的倒相作用,使Q2,Q3和时基信号交替导通,形成间歇点亮显示屏,使它工作在正常状态。

当60HZ的信号从MM5461的19脚进入后,由控制电路各部分电路的正常工作经译码与驱动电路去控制显示屏各个应亮的端。

F1,F2,F3,R2,R8,C5,K1组成了一个“电子自锁式开关”,每控一次K1,F2的输出状态会改变,一路去控制MM5461的18脚,另一路去驱动显示屏右下点的发光二极管以指示该功能的工作状态。“亮”表示“闹钟时间已设置”,“灭”表示“闹设置取消”。

R7,Q1,FMQ组成闹输出放大电路,控制信号由MM5461的13脚输出。当响闹时,按下K5可使闹暂停并延时九分钟再闹,还可多次使用报时延时,响闹总时长59分钟。

由于MM5461无秒信号输出,故用F5,F6,R3,R4,C4组成秒信号发生器,经Q4去驱动显示屏中间的“冒号”闪动。电路中各开关的功能:

K1:闹钟时间的设置开关。K1+K5快调闹时间的设置。K1+K4慢调闹时间的设置

K2:时间的设置开关。K2+K5 快调时间的设置

K2+K4慢调时间的设置。K3:闹钟时间显示开关。单击K3可显示事先所设置的报时的时间 K4:慢调时间开关

K5:快调时间开关/暂停/显示

电路中,R10(1K)的作用,是防止开关操作工作时,正负电源短路。R13,R27,R9为限流电阻,它们决定显示亮度。

六:感想与收获

这次的比赛是我们三个人一起参加的,在比赛前的一段时间里,我们三个人的收获很大,具体有三点:(1)有利于我们学习能力的提高。这里所说的学习能力包括获取资料的能力、理解前人思路的能力、系统设计能力、动手能力、分析排除故障能力、表达能力等很多方面,而这段时间的经历,我们提高都很大。

(2)有利于我们团队精神的培养。在课堂之外实际的工作中,我们三人一般都要合作共同完成某一项目,这就非常需要团队精神,而这一点在课堂常规教学中得到的锻炼是很有限的。三个人必须互相信任、互相配合、分工合作,在顺境时小组成员要相互提醒保持冷静,逆境时要相互鼓励共度难关,出现问题时不能相互埋,这些与课堂教学强调独立性是有明显区别的。

(3)有利于我们各种能力的锻炼。第一、不够细心比如由于粗心大意焊错了线,第二,是在学习态度上,这次培训是对我的学习态度的一次检验。我第一次体会到要作一名电子设计师,要求具备的首要素质是严谨。我们这次制作所遇到的多半问题多数都是由于我们不够严谨。第三,在做人上,我认识到,无论做什么事情,只要你足够坚强,有足够的毅力与决心,有足够的挑战困难的勇气,就没有什么办不到的。

电设赛场风云涌,各路英豪皆争雄。今朝罢去怀壮志,来届电赛再显锋!七:附录 电路原理总图:

附录

二、LED显示屏电路原理图

第三篇:数字逻辑课程设计任务书N

数字逻辑课程设计任务书

一 教学目标

<一> 课程性质

数字电子技术课程设计是学生的专业基础课。课程设计是以实验为基础在教师指导下独立查阅资料、设计和调试特定功能的电子电路。课程设计对于提高学生的电子工程素质和科学实践能力非常重要,是由学生自行设计和自行调试的综合性训练。<二> 课程目的

训练学生综合地运用所学的<模拟电子技术><数字电子技术>的基本知识,独立、完整地设计一定功能的电子电路,并培养设计软件应用和仿真等综合能力。

二、设计内容基本要求 〈一〉课程设计题目

1、多功能数字钟设计

要求:1)由振荡器输出稳定的高频脉冲信号作为时间基准,经分频器输出标准的秒脉冲。

2)秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器尽量按“12翻1”规律计数,计数器经译码器送到显示器。

3)计数出现误差可用校时电路进行校时、校分、校秒。扩展要求:4)具有可整点报时与定时闹钟的功能。

2、数字式竞赛抢答器

基本要求:1)设计制作一个可容纳四组参赛的数字式抢答器,每组设置一个抢答按钮供抢答时使用,且电路具有第一抢答信号的鉴别和锁存功能。

2)在主持人将系统复位并发出抢答指令后,用数码管显示倒计时时间,且数字显示第一抢答组别且该组别对应指示灯亮,同时电路应具有自锁功能,使别的抢答开关不起作用。3)对提前抢答和超时作答的组别鸣喇叭示警,并由组别电路显示出犯规组别。扩展要求:4)设置计分(含加分与扣分)电路。

3、交通灯控制电路

基本要求:1)

设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间可在10至99秒范围内设定,时间应可分别设定并易于设置修改。

2)在绿灯转为红灯时,要求黄灯先亮5秒钟(包含在30至90秒范围内),才能变换运行车道;黄灯亮时,要求每秒闪亮一次。

3)甲、乙车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法),并同步设置人行横道红、绿灯指示。

扩展要求:

4)可模拟实际路口指示灯增加相应控制功能。

4、洗衣机控制电路设计

基本要求:1)

设计一个洗衣机控制器,控制洗衣机电机作如下运转:

2)用数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直至时间到而停机。洗涤定时时间在1-10分钟内用户任意设定。

3)正反转工作由发光二极管闪烁及数码管倒数指示。

4)洗涤过程在送入预置时间后即开始运转,洗涤结束发出提示音响信号。扩展要求:

5)洗衣机具有预约功能,可根据设置时间延迟工作。〈二〉设计步骤

设计一个电子电路系统时,首先必须明确系统的设计任务,自学与指定设计题目有关的参考资料;根据任务进行方案选择,然后对方案中的各部分进行单元的设计、参数计算和器件选择,最后将各部分连接在一起,画出一个符合设计要求的完整系统电路图。

1、设计任务分析

对系统的设计任务进行具体分析,充分了解系统的性能、指标内容及要求,以便明确系统应完成的任务。

2、方案论证

这一步的工作要求把系统的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。

方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务、要求和条件,完成系统的功能设计。在这个过程中要用于探索,勇于创新,力争做到设计方案合理、可靠、经济、功能齐全、技术先进,并且对方案要不断进行可行性和优缺点的分析,最后设计出一个完整框图。框图必须正确反映系统应完成的任务和各组成部分功能,清楚表示系统的基本组成和相互关系。

3、方案实现 1)单元电路设计

单元电路是整机的一部分,只有把各单元电路设计好才能提高整体设计水平。每个单元电路设计前都需明确本单元电路的任务,详细拟订出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿成熟的先进电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要相互配合,注意各部分的输入信号、输出信号和控制信号的关系。

2)参数计算

为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大 电路中各阻值、放大倍数的计算;振荡器中电阻、电容、振荡频率等参数的计算。只有很好地理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。

3)器件选择

阻容元件的选择:电阻和电容种类很多,正确选择电阻和电容是很重要的。设计时要根据电路的要求选择性能和参数合适的阻容元件,并要注意功耗、容量、频率和耐压范围是否满足要求。

分立元件的选择和集成电路的选择:选择的分立元件或集成电路不仅要在功能和特性上实现设计方案,而且要满足功耗、电压、速度、价格等多方面的要求。

4)在电脑上应用虚拟电子工作台(EWB或Multisim)进行设计、调试、仿真。5)安装调试:

安装与调试过程应按照先局部后整机的原则,根据信号的流向逐块调试,使各功能块都要达到各自技术指标的要求,然后把它们连接起来进行统调和系统测试。

三、成绩评定的依据:

1、电路布局及安装焊接工艺(20%),如果不要求做实物电路的,根据仿真软件的设计电路布局评分。

2、验收实物电路功能及性能指标,并进行相应原理设计答辩。(45%)

3、设计报告的撰写(35%)老师根据学生设计全过程的表现,验收情况以及设计报告书给出综合成绩(成绩分优、良、中、及格、不及格五级)。如果发现设计报告雷同,一律做不及格处理。

四、课程设计报告要求:

封面:按照广工大课程设计报告的封面要求,要求学院专业班别学号用全称并且统一格式。正文内容包括: 1)设计题目 2)设计任务和要求 3)原理电路和程序设计:

(1)方案比较;(2)整体电路(标出原元件型号和参数、画出必要波形图);(3)单元电路设计;(4)说明电路工作原理;(5)元件选择。

对报告中的电路图要求

A原理图中元件电气图形符号符合国家标准;

B整体布局合理,注标规范、明确、美观,不产生歧义。C列出完整的元件清单(标号、型号及大小、封装形式、数量)D图纸幅面为A4。

E 流程图的符号采用国际标准。

设计报告统一采用小四宋体,行距为1倍 4)电路和程序调试过程与结果:

对模拟电路应有理论设计数据、实测数据、仿真数据和误差分析,数字电路应有设计逻辑流程、波形图、时序图或真值表。

5)总结

总结作品的优点和不足的地方,以后可能的改进方案,通过这次课程设计的心得体会。附录:完整的电路PCB图,完整的源程序名列表(不需要把源程序打印出来,作为电子文档提交)。

五、主要参考书目:

1、童诗白、华成英,《模拟电子技术基础》;

2、康华光,《电子技术基础》模拟、数字部分;

3、毕满清,《电子技术实验与课程设计》

第四篇:数字逻辑课程设计个人体会

课程设计个人体会
这次的课程设计我主要是负责的收集材料,整理线索的工作,这个工作比较琐碎需要阅读大量的有关课程设计的有关资料以及相 关知识,要仔细的了解它的具体组成原理还有所需要的的各种器件,以及这些器件的功能。这些知识光从课本上是不能全部找到的,所以 我们需要在网上搜索大量的有关我们课题的相关资料,然后进行删 选,总结,最后确定了一个比较符合此次课程的方案。通过这次的课程设计,还有此次具体负责的搜集资料的工作,使 我对一些事情有了更多的感想。就我的工作而言,收集资料需要有一 定的耐心,而且还要仔细一些,同时还需要有一定的判断能力,还要 选择一定的相关内容,这样也同时能够给队友提供一些比较好的思路 与想法,使我们的工作能够更加容易的完成。就比如讲我们实验时需 要使用的一些器件在课本上介绍了,但是实验的时候却在电脑中找不 到,这时就需要我们去找一些其他的器件来替代这个,我们要把这些 器件做一些比较,看看它们的各个的功能,然后才能把它放在具体的 电路中进行测试看看能不能符合电路的要求。通过此次的实验也同时让我懂得了合作的重要性,我们这次的任 务是分工合作的,大家都有具体的工作要完成,每个人都会很用心的 去做,我们每个人都不能出现差错,否则这个设计就会完不成的,所 以想要做成一件事情紧靠一个人的努力是不行的,大家齐心协力去把 事情做好才是最重要的。网络工程(无线传感)陈贤才


第五篇:数字逻辑课程设计课案

数字逻辑设计 课程设计指导书

适用专业:计算机大类

湖北工业大学 计算机学院 2016年11月

一、课程设计目的...........................................................................................................................1

二、课程设计要求...........................................................................................................................1

三、课程设计内容...........................................................................................................................1

四、设计报告的内容和要求...........................................................................................................3

五、课程设计考核方法...................................................................................................................3 附录一 自选课题参考题目.............................................................................................................4

一、数码管显示控制器...........................................................................................................4

二、乒乓球游戏机...................................................................................................................4

三、智力竞赛抢答器...............................................................................................................4

四、数字钟...............................................................................................................................4

五、交通灯控制器...................................................................................................................5

六、双钮电子锁.......................................................................................................................5

七、彩灯控制器.......................................................................................................................5

八、速度表...............................................................................................................................5

九、出租车计价器...................................................................................................................6

十、自动奏乐器一...................................................................................................................6

十一、自动奏乐器二...............................................................................................................6

十二、自动打铃器...................................................................................................................6

十三、算术运算单元ALU的设计.........................................................................................7

十四、游戏机...........................................................................................................................7

十五、16路数显报警器..........................................................................................................7

十六、脉冲按键电话按键显示器...........................................................................................7

十七、病房呼叫系统...............................................................................................................8

十八、自动电子钟...................................................................................................................8

十九、具有数字显示的洗衣机时控电路...............................................................................8

二十、篮球比赛数字计分牌...................................................................................................8 二

十一、电子日历...................................................................................................................9 二

十二、设计模拟中央人民广播电台报时电路...................................................................9 二

十三、数字跑表...................................................................................................................9 二

十四、汽车尾灯控制器.......................................................................................................9 二

十五、篮球竞赛30秒计时器.............................................................................................9 二

十六、拔河游戏机控制器.................................................................................................10 附录二 TTL集成电路型号命名规则..........................................................................................11 附录三 部分TTL集成电路管脚排列图......................................................................................14

一、课程设计目的

《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。

课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。

二、课程设计要求

(一)教学要求

1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。

2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。

3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。

(二)能力培养要求

1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。

3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。4.综合应用课程中学到的理论知识去独立完成一个设计任务。5.培养严肃认真的工作作风和严谨的科学态度。

三、课程设计内容

从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。1. 数码管显示控制器 2. 乒乓球游戏机 3. 智力竞赛抢答器 4. 数字钟 5. 交通灯控制器 6. 双钮电子锁 7. 彩灯控制器 8. 速度表 9. 出租车计价器 10.自动奏乐器一 11.自动奏乐器二 12.自动打铃器

13.算术运算单元ALU的设计 14.游戏机的设计 15.16路数显报警器 16.脉冲按键电话按键显示器 17.病房呼叫系统 18.自动电子钟

19.具有数字显示的洗衣机时控电路 20.篮球比赛数字记分牌 21.电子日历

22.设计模拟中央人民广播电台报时电路 23.数字跑表 24.数字温度计

25.篮球竞赛30秒计时器 26.拔河游戏机控制器

(三)设计课题选择的原则

1.课题内容综合教学要求。应与数字逻辑设计的理论教学内容相符,在此基础上,可进行适当的深化与拓宽。

2.课题内容的难度应适当。以便学生通过努力在规定时间内得以完成设计。同时,课题内容也应多样化,以便不同层次、不同水平、不同兴趣的学生有选择的余地。

3.课题内容应具有的实践性。让学生有较多的机会进行调整、测试,从面锻炼动手能力,同时,也应有一定的实用性,以利于调动学生对课程设计的积极性。

四、设计报告的内容和要求

报告的内容包括以下几个方面:

1、课程设计题目:自选题目名称

2、设计任务及主要性能指标和要求

3、电路的设计

(1)根据功能要求构建总体设计方案,比较和选定设计的系统方案,确定整个电路的组成及各单元电路完成的功能,画出系统框图。

(2)单元电路的设计、参数计算、器件选择及介绍。

(3)画出完整的总体电路设计图(器件型号、元件参数应标出)和必要的波形图,并说明电路的工作原理。

4、整理测试数据,分析是否满足设计要求。

5、在安装调试中的方法技巧,遇到的问题、原因及解决方法。

6、元器件清单。

7、总结设计电路的特点和方案的优缺点。

8、设计收获体会

9、参考文献。

设计实验部分根据实际完成的实验内容,按照上面自选题目包括的各部分内容报告要求根据课程设计报告的内容要求认真书写,所有的内容一律用课程设计报告书手写,总页数不得少于15页。进行编写。

五、课程设计考核方法

1.每位学生独立进行电路设计,在画出基本电路图并经理论验证无错误后在实验室完成电路元器件的安装、调试工作或仿真软件(multisim或其他)进行模拟仿真。

2.每位学生上交一份课程设计报告。

3.在检验设计作品时对学生所设计的内容和相关知识进行质疑和答辩。4.根据电路设计和电路调试情况以及课程设计报告内容、质量、书写规范性,答辩成绩,由指导教师按照优、良、中、及格、不及格评定成绩。

附录一 自选课题参考题目

一、数码管显示控制器

要求:

1.能自动一次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),1、2、3、4、5、6、7、1(音乐符号序列);然后再从头循环;

2.打开电源自动复位,从自然数列开始显示。

二、乒乓球游戏机

要求:

1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍; 2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;双方都犯规时,各自加1分;

3.甲、乙各有一数码管计分;

4、裁判有一个按钮,是系统初始化,每次得分后,按下一次。

三、智力竞赛抢答器

要求:

1.五人参赛每人一个按钮,主持人一个按钮,按下就开始; 2.每人一个发光二极管,抢中者灯亮; 3.有人抢答时,喇叭响两秒钟;

4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…

1、0;倒计时至0的时候,喇叭发出两秒声响。

四、数字钟

要求:

1.输入10Hz的时钟;(提示:对已经有的kHz频率时钟进行分频)2.能显示时、分、秒,24小时制; 3.时和分有校正功能; 4.整点报时,喇叭响两秒; 5.可设定夜间某个时段不报时; 注意:硬件资源的节约。

五、交通灯控制器

要求:

1.东西方向为主干道,南北方向为副干道;

2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换; 3.换向时要有4秒的黄灯期;

4.南北通行时间为20秒,到时间则转换,若未到时,但是南北方向已经无车,也要转换。

5.附加:用数码管显示计时。

六、双钮电子锁

要求:

1.有两个按钮A和B,开锁密码可自设,如(3、5、7、9等); 2.若按B钮,则门铃响:(滴、嗒…);

3.开锁过程:按3下A,按一下B,则3579中的“3”即被输入;接着按5下A,按一下B,则输入“5”;依此类推,直到输入完“9”,按B,则锁被打开――用发光管KS表示;

4.报警:在输入3、5、7、9过程后,如果输入与密码不同,则报警;用发光管BJ表示,同时发出“嘟、嘟…”的报警声音;

5.用一个开关表示关门(即闭锁)。

七、彩灯控制器

要求:

1.有十只LED,L0……L9 2.显示方式

(1)先奇数灯依次灭(2)再偶数灯依次灭(3)再由L0到L9依次灭 3.显示间隔0.5S,1S可调

八、速度表

要求:

1.显示汽车Km/h数; 2.车轮每转一圈,有一传感脉冲,每个脉冲代表1m的距离; 3.采样周期设为10S;

4.要求显示到小数点后边两位; 5.用数码管显示;

6.最高时速小于300Km/h。

九、出租车计价器

要求:

1.5Km开始计价,起始价为5元,每公里1.2元; 2.传感器输出脉冲为0.5m/个;

3.每0.5km改变一次显示,且提前显示(只显示钱数)

十、自动奏乐器一

要求:

1.开机能自动奏一个乐曲,可以反复演奏; 2.速度可变。1 5 5 6 6 55 5 4 4 3 3 23.附加:显示乐谱。

十一、自动奏乐器二

要求:

1.开机能自动奏一个乐曲,可以反复演奏; 2.速度可变。

6 51 3 1 3 6 5--6 6 13.附加:显示乐谱

十二、自动打铃器

要求:

1.有数字钟功能:(不包括校时等功能)2.要设置六个时间,定时打铃; 3.响铃5秒钟。

十三、算术运算单元ALU的设计

要求:

1.进行两个四位二进制数的运算; 2.算术运算:A+B,A-B,A+1,A-1 3.逻辑运算:A and B,A or B,A not,A xor B 注意:从整体考虑设计方案,优化资源的利用

十四、游戏机

有三位数码管显示0-7之间的数码,按下按钮,三个数码管循环显示,抬起按钮,显示停止,当显示内容相同时为赢。

要求:

1.三个数码管循环显示的速度不同 2.停止时的延迟时间也要不同

3.如果赢了游戏时,要有数码管或LED的花样显示或声音提示。

十五、16路数显报警器

要求:

1.设计16路数显报警器,16路中某一路断开时(可用高低电平表示断开和接通),用十进制数显示该编号,并发出声音信号;

2.报警时间持续10秒钟;

3.当多路报警时,要有优先级,并将低优先级的报警存储,处理完高优先级报警后,再处理之(附加)。

十六、脉冲按键电话按键显示器

要求:

1.设计一个具有八位显示的电话按键显示器; 2.能准确反映按键数字;

3、显示器显示从低位向高位前移,逐位显示,最低位为当前输入位; 4.重按键时,能首先消除显示;

5.摘下电话后才能拨号有效,挂机后熄灭显示。

十七、病房呼叫系统

要求:

1.用1-5个开关模拟5个病房的呼叫输入信号,1号优先级最高,1-5优先级依次降低;

2.用一个数码管显示呼叫信号的号码,没信号呼叫时显示0,有多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);

3.凡有呼叫,发出5秒的呼叫声;

4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。

十八、自动电子钟

要求:

1.用24小时制进行时间显示; 2.能够显示小时、分钟; 3.每秒钟要有闪烁指示; 4.上电后从“00:00”开始显示。

十九、具有数字显示的洗衣机时控电路

要求:

1.洗衣机工作时间可在1~15分钟内任意设定(整分钟数);

2.规定电动机运行规律为正转20s,停10s,反转20s,停10s再正转20s,以后重复运行;

3.要求能显示洗衣机剩余工作时间,每当电机运行一分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转;

4.电机正转和反转要有指示灯指示。

二十、篮球比赛数字计分牌

要求:

1.分别记录两队得分情况;

2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分;

4.分别用三个数码管显示器记录两队的得分情况。

十一、电子日历

要求:

1.能显示年、月、日,星期; 2.例如:“01.11.08”,星期日显示“8”; 3.年月日,星期可调; 4.不考虑闰年。

二十二、设计模拟中央人民广播电台报时电路

要求:

1.当计时器运行到59分49秒开始报时,每鸣叫1s就停叫1s,共鸣叫6响;前5响为低音,频率为750Hz,最后1响为高音,频率为1KHz;

2.至少要有分秒显示。

二十三、数字跑表

要求:

1.具有暂停/启动功能; 2.具有重新开始功能;

3.用6个数码管分别显示百分秒、秒和分钟。

二十四、汽车尾灯控制器

要求:

1.汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;

2.在右转弯时,右侧3个指示灯按右循环顺序点亮; 3.在左转弯时,左侧3个指示灯按左循环顺序点亮; 4.在临时刹车时,所有指示灯同时点亮。

二十五、篮球竞赛30秒计时器

要求:

1.30秒计时器具有显示30秒的计时功能;

2.系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能; 3.计时器为30秒递减计时,其计时间隔为1秒;

4.当计时器递减计时到零时,数码显示不能灭灯,应发出光电报警信号。二

十六、拔河游戏机控制器

设计一个能进行拔河游戏的控制电路。电路使用9个发光二极管表示拔河的“电子绳”,开机后只有中间一个发亮,此即拔河的中心店。游戏甲乙双方各持一个按钮迅速地、不断地按动产生脉冲,谁按得快,亮点就向谁方向移动,每按一次,亮点移动一次。亮点移到任一方终端发光二极管,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复至中心点,由裁判下达比赛命令后,甲乙双方才能输入信号,否则输入信号无效。附录二 TTL集成电路型号命名规则

1.1997年以后,我国生产的TTL集成电路型号与国际54/74系列TTL电路系列完全一致,并采用了统一型号,即CT0000系列。

说明:

①中国TTL集成电路 ②表示系列品种代号,其中: 1.标准系列,同国际54/74系列。2.高速系列,同国际54/74系列。3.肖特基系列,同国际54S/74S系列。4.低功耗肖特基系列,同国际54LS/74LS系列。③表示品种代号,同国际一致 ④表示工作温度范围

C:0~+70℃,同国际74系列电路的工作温度范围。M:—55~+125℃,同国际54系列电路的工作温度范围 ⑤表示封装形式

B:塑料扁平

D:陶瓷双列直插

F:全密封扁平

P:塑料双列直插

W:陶瓷扁平

2.部分国际公司TTL集成电路型号命名规则(1)(美国)德克萨斯公司(TEXAS)

说明:

①表示德克萨斯公司标准电路 ②表示工作温度范围

54系列;-55~+125℃,74系列:0~+70℃ ③表示系列

J:黑陶瓷双列直 ALS:先进的低功耗肖特基系列 AS:先进的肖特基系列

<空白>:标准系列

H:高速系列

L:低功耗系列

LS:低功耗肖特基系列S:肖特基系列

④表示品种代号 ⑤表示封装形式

J:陶瓷双列宣插

N:塑料双列直插

T:金属扁平

W:陶瓷扁平(2)(美国)摩托罗拉公司(MOTOROLA)

说明:

①表示摩托罗拉公司封装的集成电路 ②表示工作温度范围

4,20,30,40,72.74,83:0~十75℃ 5,21,31,43,82,54,93:—55~十125℃ ③表示品种代号 ④表示封装形式

F:陶瓷扁平

L:陶瓷双列直插

P;塑料双列直插(注:LS—TTL的型号同德克萨斯公司一致,如:SN74LS194J)(3)(美国)国家半导体公司(NATIONAL SEMICONDUCTOR)

说明:

①表示国家半导体公司单片数字电路 ②表示工作温度

74,80,8l,82.85,87,88;0~十70℃

54,70,71.72,75,77,78,93,96:一55~+125℃ 83,96:0~十75℃ ③表示系列

<空白>:标准系列

H:高速系列

L;低功耗系列

LS:低功耗肖特基系列 S:肖特基系列

④表示品种代号 ⑤表示封装形式

D:玻璃—金属双列直插

F:玻璃一金属扁平

J:低温陶瓷双列直插

N:塑料双列直插

W:低温陶瓷扁平

(4)(日本)日立公司(HITACHI)

说明:

①表示日立公司数字集成电路 ②表示工作温度范围 74:—20~十75℃ ③表示系列

<空白>:标准系列

LS:低功耗肖特基系列

S:肖特基系列 ④表示品种代号 ⑤表示封装形式

<空白>:玻璃一陶瓷双列直插

P:塑料双列直插

附录三 部分TTL集成电路管脚排列图、逻辑门 1

2)触发器与锁存器()计数器、译码器、数据选择器(3

下载数字逻辑课程设计-数字钟word格式文档
下载数字逻辑课程设计-数字钟.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    数字钟课程设计

    晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的脉冲,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电......

    数字钟课程设计

    数字电子钟逻辑电路设计 一、实验目的: 1、掌握数字钟的设计方法; 2、熟悉集成电路的使用方法。 二、设计任务和要求: 1、设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有......

    数字钟课程设计

    南 昌 大 学 数字电路与逻辑设计实验报告 姓名: 付容 学号:6100212236 学院:信息工程学院 班级:电气信息I类126班 实验名称: 数字钟设计 一、实验目的 1、熟悉数字系统的分析和......

    数字钟课程设计

    ORG 0000H SJMP ONE ORG 0003H LJMP ELEVEN ORG 000BH LJMP NINE ORG 001BH LJMP TEN ONE:LED12 EQU 30H ;数码管12显示缓存 LED34 EQU 31H ;数码管34显示缓存 LED56 EQU 32......

    数字电子技术课程设计报告(数字钟的设计)

    数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿......

    数字电子技术基础课程设计:数字钟(优秀范文5篇)

    目 录 目录………………………………………………………………………….……….1 1、设计目的………………………………………………………………….……...2 2、设计方案…......

    电子钟——合肥工业大学数字逻辑课程设计报告[范文大全]

    一)设计题目: 电子钟 二)设计任务和基本要求: 1.实验台上的六个数码管显示时、分、秒; 2.能使电子钟复位(清零); 3.能启动和停止电子钟运行; 4.在电子钟停止运行状态下,能够修改......

    数字电路课程设计 数字钟

    摘 要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、......