基于西门子PLC s7-300的三层电梯课程设计报告

时间:2019-05-14 01:39:07下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《基于西门子PLC s7-300的三层电梯课程设计报告》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《基于西门子PLC s7-300的三层电梯课程设计报告》。

第一篇:基于西门子PLC s7-300的三层电梯课程设计报告

目录

一、引言„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„2

1、设计目的„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„2

2、设计任务„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„2

3、设计内容„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„2

4、设计意义„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„2

二、基础知识 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„3

1、PLC的工作原理„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„3

2、STEP7的简介„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„4

三、系统的设计准备„„„„„„„„„„„„„„„„„„„„„„„„„„6

1、电梯控制示意图„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„6

2、系统流程图„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„6

四、系统的硬件设计 „„„„„„„„„„„„„„„„„„„„„„„„„9

1、PLC硬件配置说明„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„9

2、PLC的I/O地址分配表„„„„„„„„„„„„„„„„„„„„„„„„„„„„9

3、交通灯控制系统的I/O接线图„„„„„„„„„„„„„„„„„„„„„„„„„10 五、三层电梯控制系统的软件设计„„„„„„„„„„„„„„„„11

1.楼层呼叫 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„11 2.轿厢停止控制 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„12 3.电梯上下行 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„12 4.轿厢开门关门 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„13 5.楼层显示 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„15

六、软件的调试与仿真„„„„„„„„„„„„„„„„„„„„„„„„16

1.调试步骤 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„16 2.调试结果 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„16

七、总结„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„20

八、心得体会„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„21

九、参考文献„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„22

一、引言

1、设计目的

(1)熟练使用西门子公司的S7-300系列产品各基本指令和部分应用指令,根据控制要求进行PLC梯形图编程。

(2)进一步熟悉PLC的I/O连接。

(3)熟悉三层楼电梯采用轿厢内外按钮的编程方法

2、设计任务

电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操作,其操纵内容为电梯运行方向。电梯轿厢内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示,L2为二层指示,L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。

3、设计内容

电梯处于基站,关门等运行状态时,此时按基站外呼按钮,信号经按钮传输到PLC,经PLC判断为本层开门,再将信号传输到开关门电动机。输出开门信号,电梯开门。

人进入轿厢后,经延时,电梯自动关门。也可按关门按钮,使电梯提前关门。如果轿内指令选第几层按钮,则指令经串行传输到PLC上,显示屏上的对应层发光二极管闪亮,当手离开按钮后,信号被登记,电梯开始运行。PLC核实信号后,可将运行信号传输到各个工作部位并发出运行指令。电梯开始按给定曲线运行,其给定速度信号不断与速度反馈信号比较,不断校正,使电梯运行的速度曲线尽量符合理想的运行曲线,使电梯运行平稳。

运行过程中,井道中的轿厢位置传感继电器每过一个隔磁板即核对一次运行位置,并将信号输入PLC与其中记忆的位置和旋转编码器发回的脉冲数量核对,三个信号核对无误后电梯继续运行。电梯每到一个隔磁板,门区继电器即吸合一次,层楼指示便变化一次。

运行过程中PLC里的“先行楼层”不断寻索楼层呼梯指令信号。当“先行楼层”导索到呼梯指令后,上到站钟GU或下到站钟GD发出到站钟声,经延时,电脑发出换速信号,电梯开始减速运行。当隔磁板插入平层感应器时,电梯进一步减速进入爬行。当轿厢到达平层位置后,接触器断电,电梯停止运行。制动系统工作,电梯停稳。

电梯停稳后,发出开门信号,电梯开门。经延时,关门时间到,发出关门信号,电梯又开始关门。电梯门关好后,其运行方向按轿内指令和厅外召唤与轿厢的相对位置而定。如没有任何指令,电梯就地待命。

4、设计意义

随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。

电梯就是用于高层建筑物中的固定式升降运输设备,它有一个装载乘客的轿厢,沿着垂直或倾斜角度小于15°的导轨在各楼层间运行,是垂直运行的电梯、倾斜方向运行的自动扶梯、倾斜或水平方向运行的自动人行道的总称。随着城市建设的不断发展,高层建筑不断增多,电梯在国民经济和生活中有着广泛的应用。电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。有了电梯,摩天大楼才得以崛起,现代城市才得以长高。据估计,截至2002年,全球在用电梯约635万台,其中垂直电梯约610万台,自动扶梯和自动人行道约25万台。电梯已成为人类现代生活中广泛使用的人员运输工具。人们对电梯安全性、高效性、舒适性的不断追求推动了电梯技术的进步。如今,世界各国的电梯公司还在不断地进行电梯新品的研发、维修保养服务系统的完善,力求满足人们的对现代建筑交通日益增长的需求。

二、基础知识

1、PLC的结构

PLC 实质是一种专用于工业控制的计算机其硬件结构基本上与微型计算机从结构上分,PLC分为固定式和组合式(模块式)两种。固定式PLC包括CPU板、I/O板、显示面板、内存块、电源等,这些元素组合成一个不可拆卸的整体。模块式PLC包括CPU模块、I/O模块、内存、电源模块、底板或机架,这些模块可以按照一定规则组合配置。

图2.12、PLC的工作原理

当PLC投入运行后,其工作过程一般分为三个阶段,即输入采样、用户程序执行和输出刷新三个阶段。完成上述三个阶段称作一个扫描周期。在整个运行期间,PLC的CPU以一定的扫描速度重复执行上述三个阶段。

1.输入采样阶段 在输入采样阶段,PLC以扫描方式依次地读入所有输入状态和数据,并将它们存入I/O映象区中的相应得单元内。输入采样结束后,转入用户程序执行和输出刷新阶段。在这两个阶段中,即使输入状态和数据发生变化,I/O映象区中的相应单元的状态和数据也不会改变。因此,如果输入是脉冲信号,则该脉冲信号的宽度必须大于一个扫描周期,才能保证在任何情况下,该输入均能被读入。

2.用户程序执行阶段 在用户程序执行阶段,PLC总是按由上而下的顺序依次地扫描用户程序(梯形图)。在扫描每一条梯形图时,又总是先扫描梯形图左边的由各触点构成的控制线路,并按先左后右、先上后下的顺序对由触点构成的控制线路进行逻辑运算,然后根据逻辑运算的结果,刷新该逻辑线圈在系统RAM存储区中对应位的状态;或者刷新该输出线圈在I/O映象区中对应位的状态;或者确定是否要执行该梯形图所规定的特殊功能指令。

3.输出刷新阶段

当扫描用户程序结束后,PLC就进入输出刷新阶段。在此期间,CPU按照I/O映象区内对应的状态和数据刷新所有的输出锁存电路,再经输出电路驱动相应的外设。

PLC的扫描工作过程如图2-

2、图2-3所示 :

图2.2 PLC的扫描工作过程图

图2.3 PLC的扫描周期

3、STEP7的简介

STEP7 编程软件是一个用于SIMATIC 可编程逻辑控制器的组态和编程的标准软件包。STEP7 标准软件包中提供一系列的应用工具,如:SIMATIC 管理器、符号编辑器、硬件诊断、编程语言、硬件组态、网络组态等。STEP7 编程软件可以对硬件和网络实现组态,具有简单、直观、便于修改等特点。该软件提供了在线和离线编程的功能,可以对PLC 在线上载或下载。利用STEP7 可以方便地创

建一个自动化解决方案。图2.4为创建一个自动化项目的基本步骤 图2.4 创建一个自动化项目 项目可用来存储为自动化任务解决方案而生成的数据和程序。这些数据包括:硬件结构的组态数据及模板参数;网络通讯的组态数据以及为可编程模板编制的程序。它们都被收集在一个项目下。在生成一个项目后,先插入站,然后可以组态硬件。在组态硬件时,可以借助于模板样本对可编程控制器中的CPU 及各模板进行定义,通过双击站来启动硬件组态的应用程序。一旦存储并退出硬件组态,对于在组态中生成的每一个可编程模板,都会自动生成S7/M7 程序及空的连接表。连接表可用来定义网络中可编程模板之间的通讯连接。硬件组态完成后就可为编程模板生成软件。为可编程模板编制的软件存储在对象文件夹中。对该对象文件夹称作“S7-Program”。在子菜单中,可以选择想要生成的块的类型(如:数据块,用户定义的数据类型,功能,功能块,组织块或变量表)。打开一个空的块,然后用语句表,梯形图或功能图输入程序。在完成组态,参数赋值,程序创建和建立在线连接后,可以下载整个用户程序或个别块到一个可编程序控制器。在下载完整的或部分用户程序到CPU 之前,把工作方式从RUN 模式置到STOP 模式。可以通过在线连接下载各个块或整个用户程序到RAM。当电源关断后和CPU 复位时,保存在他们上面的数据将被保留。另外,可以从可编程控制器中上载一个工作站,或从一个S7 CPU 中上载块到PG/PC。这样,当出现故障而不能访问到程序文档的符号或注释时,就可以在PG/PC 中编辑它。用于S7-300 的编程语言有:梯形图(LAD),语句表(STL)和功能块图(FBD)。LAD 是STEP7 编程语言的图形表达方式。它的指令语法与一个继电器梯形逻辑图相似:当电信号通过各个触点复合元件以及输出线圈时,梯形图可以让你追踪电信号在电源示意线之间的流动。STL 是STEP7 编程语言的文本表达方式,与机器码相似,CPU 执行程序时按每一条指令一步一步地执行。FBD 是STEP7 编程语言的图形表达方式,使用与布尔代数相类似的逻辑框来表达逻辑。STEP7 编程软件允许结构化用户程序,可以将程序分解为单个的自成体系的程序部分。从而使大规模的程序更容易理解,可以对单个的程序部分进行标准化。程序组织简化,修改更容易。系统的调试也容易了许多。在S7 用户程序中可以使用如下几种不同类型的块:组织块(OB)是操作系统和用户程序的接口。它们由操作系统调用,并控制循环和中断驱动程序的执行,以及可编程控制器如何启动。它们还处理对错误的响应。组织块决定各个程序部分执行的顺序。用于循环程序处理的组织块OB1 的优先级最高。操作系统循环调用OB1 并用这个调用启动用户程序的循环执行。功能(FC)属于用户自己编程的块。功能是“无存储区”的逻辑块。FC 的临时变量存储在局域数据堆栈中,当FC 执行结束后,这些数据就丢失了。功能块(FB)属于用户自己编程的块。功能块是具有“存储功能”的块。用数据块作为功能块的存储器(背景数据块)。传递给FB 的参数和静态变量存在背景数据块中。背景数据块(背景DB)在每次功能块调用时都要分配一块给这次调用,用于传递参数。

系统功能块(SFB)和系统功能(SFC)是STEP7为用户提供的己编程好的程序的块,经过测试集成在CPU 中的功能程序库。SFB 作为操作系统的一部分并不占用程序空间,是具有存储能力的块,它需要一个背景数据块,并须将此块作为程序的一部分安装到CPU 中。STEP7 的调用结构如下图2.5所示:

图2.5 STEP7的调用结构

三、系统的设计准备

1、电梯控制示意图

图3.1 电梯控制示意图

2、系统程序流程图

图3.2 楼层显示

图3.3 楼层呼叫

图3.4 轿厢停止控制

图3.5轿厢上下行

图3.6轿厢开关门 四、三层电梯控制系统硬件设计

1.PLC的机型选择

为了完成设定的控制要求,主要根据电梯的控制方式与输入输出点数和占用内存多少来确定PLC的机型。本系统为三层电梯,采用了级选控制方式。

根据电梯控制特点,输入信号应该包括以下几个部分:轿厢内的楼层选择按钮SB1,SB2,SB3,开门按钮SB4和关门按钮SB5,以及安装于各楼层的电梯停靠位置的三个传感器SQ1,SQ2,SQ3,平时它们为常开,当电梯运行到平层时关闭。所以输入信号共有八个。

输出信号应该包括:轿厢内呼叫指示信号三个,分别表示一层到三层的呼叫被接收,并在呼叫指令完成后,信号消失;电梯上、下行指示信号有两个,门电动机开、关指示信号两个。共需要输出信号7个。

综合输入/输出点的计算及要实现的电梯功能,使用西门子s7-300系列的CPU-315-2DP,有16个开关量输入,16点开关量输出,这样就足以满足设计要求。

2.输入输出点分配

该系统占用PLC的15个I/O口,8个输入点,7个输出点,具体的I/O分配表如下表所示

表4.1 I/O分配表

3.系统组态

图1 系统组态图

3、三层电梯控制系统的I/O接线图 五、三层电梯控制系统的软件设计

控制系统梯形图 用“新建项目”向导生成一个名为“三层电梯控制软件”的项目,采用线性化编程,控制软件用梯形图编写,OB1中的程序如下:

一、楼层呼叫

二、轿厢停止控制

三、电梯上下行

四、轿厢开门关门

五、楼层显示

六、软件的调试与仿真

1、调试步骤

软件的调试是在仿真软件中实现的,我们采用S7-PLCSM仿真软件,仿真步骤如下:

1、打开S7-PLCSM仿真软件,窗口自动出现CPU试图对象。在CPU视图对象中点击STOP小框,灵仿真PLC处于STOP模式,在选择菜单命令“PLC”/“Download”,将要下载的程序下载到仿真PLC中。

2、执行菜单命令“Insert”/“Input Variable”,创建IB字节的视图对象,用类似的方法生成输出字节QB、定时器的视图对象。点击CPU视图对象中RUN小框,将仿真PLC至于运行状态。

3、测试软件是否运行正常。

2、调试结果:

(1)调试界面如下图

(2)运行时,电梯先开门6S后关门

16(3)电梯原来在一楼,三楼呼叫

(4)电梯到大三楼后,先延时3s然后开门6s然后关门

(5)当电梯在三楼时,一楼有呼叫,电梯先向下运行到一楼,停3s后开门6s,然后关门

七、总结

在这次软件设计中遇到了很多实际性的问题,在实际设计中才发现,只有理论与实际相结合,才能更加深入的了解问题。只有了解了实际与理论知识并把它们相结合,才能解决问题。一切问题必须靠自己一点一滴的解决,而且要不断的更正以前的错误。设计是比较简单的,主要是解决程序设计中的问题,而程序设计是一个很灵活的东西,它反映了你解决问题的逻辑思维和创新能力,它才是一个设计的灵魂所在。因此大部分时间是用在程序设计上面的。

在设计过程中,总是遇到这样或那样的问题。有时发现一个问题的时候,需要做大量的工作,找很多资料,花大量的时间才能解决。但当解决了一个问题时的那种成就感是用言语无法形容的。

通过这次对停车场车位控制的PLC控制,让我了解了PLC梯形图、指令表、外部接线图有了更好的了解,也让我了解了关于PLC设计原理。同时对所学的知识得到很大的提高与巩固。经过多次的反复实验与分析,可以对电路的原理及功能更加熟悉,同时提高了设计能力与及对电路的分析能力.而且在软件的编程方面得到更到的提高,对编程能力得到加强。我想通过参加这次的课程设计,也会对自己以后的学习和工作都有很大的帮助。

八、心得体会

赵路:经过为期不长的软件设计课程,我学到了很多。刚开始做的时候,感觉好难,无从入手,自己无法将课堂上学习到的知识运用到实践。所以就先从选题开始,纠结了好长时间,最后在老师的帮助下,拟定了初步的题目和设计软件。紧接着我就开始从网上下载STEP7编程软件,下载很简单,但是安装可把我难为住啦。弄了好几天晚上才安装好,虽然花费了好长时间,但是我也收获可很多。紧接着,我去图书馆借了很多有关的书籍,开始学习S-300的指令系统,用了几天晚上设计编写程序,检查程序。中间也遇到过好多问题,但是都在队友的帮助下解决了。当程序完成后,我又学习了仿真软件,把程序进行了仿真,但看到程序在仿真软件上完整的执行成功,心情万分激动。这是我第一次自己去主动学习软件,运用软件,编写程序。我也从中间明白了:做事一定要相信自己,努力去做,你一定会成功的。而且,做事一定要认真细心,我在写程序时,犯了很多低级的错误。也造成了很多不必要的麻烦。所以,一定要仔细。

吴那:经过半个多月的艰苦奋斗,设计成果终于出来了,我才松了一口气,我通过采集资料、进行实际考察后,做出以上设计的方法。查找资料也是一件繁琐的事情,虽说网上有资料但要找到一些真正有用的资料也不是一件容易的事,需要耐心查找。比如,我在查找STEP7软件时,好多次都因为没有注意,而下载错误,不适合自己的电脑系统,浪费了很多时间。在这次设计中我学会判断、取舍相关知识,对于提高查阅资料的能力也得到了很好的锻炼。

汪倩文:通过这次设计,我对PLC设计控制有了更深入的的了解,对以前学的仅限于书本上的PLC知识又有了一定的新认识,温习了以前学的知识,同时也学习新的知识,而且在设计的过程中,我们遇到了很多的问题,通过上网采集资料、作出修改,一步步的完成设计,一次又一次的学习,我们慢慢地在体会、感悟,终于领会到结果出现时的那一份喜悦,从撰写报告,查找资料,程序设计,到整理每一个次的调试,我们学会了细心和耐心,很多次的成功与失败更加使我们有决心做好这次设计。这次设计不仅加深我对plc的认识,而且我相信这会对今后的学习工作生活有着积级的影响。而且大大提高了我的动手的能力,使我充分体会到了在创造过程中艰难和成功的喜悦。虽然这个设计还不是很完善、很简单,但是我在这次设计过程中所学到的东西是这次课程设计的最大收获,是在书本上、题目中永远也不能体会和明白的。

任帅:这次软件设计,我主要负责审核队员整理的结果,协助软件设计与调试。整个过程中,我学习到了很多。跟三个女同学一组,我学习到了女生的那种细腻,他们会注意到很多我没有注意到的,对我们这次的设计提供了很大作用。当然在审核中我也发现了很多错误,在我们共同的努力下改了过来。最后,我还负责了设计报告总体布局的设计,认真安排设计报告的排版,在排版过程中,也纠结了很久,不过,最后在同学的协助下,较完整的完成了任务。从这次设计中,我懂得了一个团队的重要性:既然我们是一个团队,那么我们就应该共同努力,共同奋斗。

九、参考文献

[1].赵岩著.S7-300/400系列PLC入门及应用实例.北京:电子工业出版社.2012年4月 [2].李明河著.可编程控制原理与应用.第一版.合肥工业大学出版社.2009年1月 [3].常斗南主编 可编程序控制器原理*应用*实验.北京:机械工业出版社,2005 [4].张万忠《可编程控制器入门与应用实例》北京:中国电力出版社2004 [5].廖常初等.PLC的顺序控制编程方法.工业自动化.1997 [6]赵景波等西门子S-300/400PLC快速入门手册.化学工业出版社.2012.5 [7]刘华波等西门子S-7300/400PLC编程与应用.2010

第二篇:三层电梯实训报告

三层电梯实训报告

摘要

20世纪60年代末,为了克服传统继电器的种种应用上的缺点,人们研制出了一种先进的可编程序控制器PLC(Programmable logic Controller),由于PLC具有优良的技术性能,因此它一问世就很快得到了推广应用。随着微电子技术和计算机技术的迅速发展,PLC在工业控制领域内得到广泛的应用愈加明显。

PLC是一种基于数字计算机技术,专为在工业环境下应用而设计的电子控制装置,它采用可编程程序的存储器,用来存储用户指令,通过数字或模拟的输入/输出,完成一系列逻辑、顺序、定时、记数、运算等确定的功能,来控制各种类型的机电一体化设备和生产过程。具体来讲PLC主要具有以下的特点:(1)可靠性高,抗干扰能力强;(2)(2)编程方法简单、直观;(3)体积小、耗能低、重量轻;

(4)硬件配套齐全,用户使用方便,适应性强;(5)系统的设计/安装、调试工作量少;(6)维修工作量小、维护方便;(7)接口模块功能强、品种多。

PLC在电梯控制上的应用主要体现在它的逻辑开关控制功能。由于PLC具有逻辑运算,计数和定时以及数据输入输出的功能。在电梯控制过程中,各种逻辑开关控制与PLC很好的结合,很好的实现了对电梯的控制。

本论文是以三菱广泛应用的整体中型机FX2N-128为背景机,详细介绍其系统配置,兼顾介绍,指令系统,编程方法和控制系统设计方法,同时也介绍了模块式PLC的一些智能单元。本人毕业设计的电梯包括电梯自动运行、消防运行、PLC综合控制三个系统。论文对PLC的结构、特点、性能以及与现场控制对象的连线进行了具体的研究,并通过PLC实现了电梯的自动控制以及消防运行。通过此次毕业设计,提高了我们运用理论知识,分析、处理和解决实际问题的综合能力

第三篇:基于FPGA的三层电梯设计

《数字电子技术》课程设计

题目:基于FPGA的三层电梯设计

学 院: 工学院

专业班级: 通信工程11秋2班 学生姓名:

学 号: 11032202 小组成员:

指导教师:

完成日期 2013年 12月

目录 课题背景.....................................................1

1.1 课题的作用和意义.......................................1 1.2 国内外的现状和发展趋势等情况...........................1 2 Quartus II软件、FPGA硬件介绍................................2

2.1 QuartusII软件介绍......................................2

2.1.1 Quartus II对器件的支持...........................2 2.2 FPGA硬件介绍...........................................3

2.2.1 FPGA基本简介.....................................3 2.2.2 FPGA的优点.......................................3 设计思路及运行流程...........................................4

3.1 电梯主要功能...........................................4 3.2电梯运行设计思路........................................4 3.3 电梯的运行流程.........................................4 3.4 模块介绍...............................................5

3.4.1 电梯控制模块介绍..................................5 3.4.2译码器模块介绍....................................6 3.4.3 总模块介绍........................................7 各模块功能仿真图.............................................8

4.1 电梯控制模块功能仿真...................................8 4.2 译码模块功能仿真.......................................9 5 实物展示....................................................10 6 心得体会....................................................12 7 谢 辞.......................................................13 参考文献......................................................14 附录一........................................................15 附录二........................................................23

《数字电子技术》课程设计 课题背景

1.1 课题的作用和意义

电梯作为高层大厦的主要垂直交通工具,电梯系统服务质量和效率的提高对建筑的有效利用和性能发挥将产生极为重要的影响。信息化时代的到来,推动了电梯的发展,电梯控制器已成为当今世界性开发的热点,也是各国综合实力的表现。电梯系统作为建筑楼宇自动化的重要组成部分,也要求向满足大厦中大量人流、物流的垂直输送需要,电梯制造商利用先进的技术,开发出各种高性能的电梯系统以满足乘客生理和心理要求,实现高效的垂直输送。1.2 国内外的现状和发展趋势等情况

随着科学技术的发展,近年来我国的电梯生产技术得到了迅速发展.一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统、FPGA控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGA/CPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。

《数字电子技术》课程设计

Quartus II软件、FPGA硬件介绍

2.1 QuartusII软件介绍

QuartusII是Altera公司继Max+plusII之后开发的一种针对其公司生产的系列CPLD/PGFA器件的综合性开发软件,它的版本不断升级,从4.0版到10.0版,这里介绍的是QuartusII8.0版。Quartus II软件的设计流程为:设计输入、综合和编译、适配器、仿真、下载。Max+plusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Max+plus II 的更新支持。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。2.1.1 Quartus II对器件的支持

QuartusII支持Altera公司的MAX 3000A系列、MAX 7000系列、MAX 9000系列、ACEX 1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K系列,支持MAX7000/MAX3000等乘积项器件。支持MAX II CPLD系列、Cyclone系列、CycloneII、StratixII系列、StratixGX系列等。支持IP核,包含了LPM/MegaFunction宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。此外,QuartusII通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可完成从设计输入到硬件配置的完整PLD设计流程。

《数字电子技术》课程设计

2.2 FPGA硬件介绍 2.2.1 FPGA基本简介

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是专用集成电路中一种半定制电路。具克服了传统可编程器件数有限的缺点,又具有可编程的特点。FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。2.2.2 FPGA的优点

目前的FPGA已远超出先前产品的基本功能,并且整合了许多常用功能(如RAM、时钟管理和DSP),在新型的的系统级可编程逻辑器件中还可以以IP核的形式来进行功能设计,或许只需要一块FPGA芯片就可以实现所有功能,成为片上系统。FPGA运行速度快,内部集成锁项环,可以把外部时钟倍频,核心频率可以到几百M,克服了单片机在高速场合中运行速度低的缺点。

《数字电子技术》课程设计 设计思路及运行流程

3.1 电梯主要功能

(1)每层电梯处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。(2)设有电梯所处位置指示装置及电梯运行情况指示。(3)电梯的初始状态为第一楼层。3.2电梯运行设计思路

实现此系统分为三个模块,分别为总控制模块、电梯位置显示的译码模块,及电梯运行状态显示的译码模块。

我们通过开发板中的开关模拟电梯外部和内部的楼层上升及下降请求,由数码管显示电梯的位置状态及所在楼层层数。通过LED灯的亮与灭表示电梯的开门状态。例:从1楼上至2楼,即需要将开发板中代表1楼上升请求及3楼停止请求的开关拨上,则数码管显示01→02。3.3 电梯的运行流程

电梯的请求信号划分为上升信号和下降信号。电梯收到请求信号后,都必需做预操作。使电梯进入预上升状态的请求信号就是上升,得到上升和下降及所到楼层的请求后作出相应的动作实现。以下为电梯在各楼层时的状态:

当电梯在一楼时,不管电梯内部还是外部,电梯只能收到上升请求信号,此时电梯进入预上升状态,准备上升,如果没有收到上升请求,则电梯待在一楼。

当电梯在二楼时,如电梯外部内部均没有收到任何请求,电梯将返回一楼待机;如电梯接收到上升请求信号,则进入预上升状态;如电梯接收到下降请求信号,电梯进入预下降状态。当电梯在三楼时,电梯只能接收到下降请求信号,此时电梯进入预下降状态,准备下降。流程图如(图3.1)所示

《数字电子技术》课程设计

图3.1电梯运行流程图

3.4 模块介绍

3.4.1 电梯控制模块介绍

控制模块代码见(附录一),原理图如(图3.2)具体引脚分配见(附录二)

图3.2 电梯顶层模块

《数字电子技术》课程设计

开关输入引脚:

“REST”是复位开关,实现电梯无请求时手动复位至1楼的功能; “LIFTCLK”是时钟脉冲;

“F1UPBUTTON”是1楼上升的请求开关,实现产生1楼有上升请求; “F2UPBUTTON”是2楼上升的请求开关,实现产生2楼有上升请求; “F2DNBUTTON”是2楼下降的请求开关,实现产生2楼有下降请求; “F3DNBUTTON”是3楼下降的请求开关,实现产生3楼有下降请求;

“STOP1~3BUTTON”是1~3楼停止的请求开关,实现产生到1~3楼时停止的请求; 数码管输出引脚:

“jm[6..0]”是电梯所在位置显示引脚; “led[6..0]”是电梯上升下降状态显示。LED灯输出引脚:

“DOORLIGHT”时开门灯显示引脚。3.4.2译码器模块介绍

(1)楼层上升及下降状态译码器模块,楼层上升及下降状态显示如(图3.3):

图3.3上升及下降状态显示译码模块

“SEG”表示输入一个1位二进制数0或1;

“Q3[6..0]”引脚分别输出7位二进制:0000001和1001111即显示为0和1。(2)楼层楼层位置显示译码器模块原理图如(图3.4):

《数字电子技术》课程设计

图3.4楼层楼层位置显示译码器模块

SEG[2..1]表示输入一个2位二进制数01、10、11;

“ Q3[6..0]”引脚分别输出7位二进制: 1001111显示为1,0010010显示为2,0000110显示为3。3.4.3 总模块介绍

图3.5 电梯主控系统和译码器模块连接图

控制模块的下降信号输出作为运行状态译码模块的输入,控制模块的位置输出作为电梯位置显示译码模块的输入。

《数字电子技术》课程设计 各模块功能仿真图

4.1 电梯控制模块功能仿真

图4.1电梯控制模块功能仿真

上图表示:电梯从1楼上至3楼再下至2楼的情况 波形说明:

步骤1:给予2楼上升请求一个脉冲 步骤2:给予3楼停一个脉冲 步骤3:给予2楼上升请求一个脉冲 步骤4:

下降输出信号UDSIG在电梯响应下降至2楼时为1表示下降其余为0上升; 开门灯DOORLIGHT在1楼和到3楼及2楼时为1表示亮; 电梯位置显示POSITION相应显示1→2→3→2。以上仿真与所要求情况一致。

《数字电子技术》课程设计

4.2 译码模块功能仿真

图4.2译码器功能仿真

上图表示:(译码显示的是数码管的引脚,低电平为亮,高电平为不亮)Jm分别为:1001111→0010010→0000110→0010010即1→2→3→2符合电梯所在位置显示。

Led分别为:0000000→0000001即0→1上升到下降符合电梯运行状态。

《数字电子技术》课程设计 实物展示 电梯初始状态如图(5.1)

图5.1电梯初始状态

说明:上图显示01,即电梯处于1楼待上升状态。当没有任何请求时电梯则自动复位至此状态 电梯1楼上升至3楼模拟如图(5.2)

图5.2 电梯1楼上至3楼

说明:要实现电梯从1楼上升至3楼则需要F1UPBUTTON即开关SW3为高电平,STOP3BUTTON即开关SW9为高电平,同时BUTTONCLK即开关SW2为高电平,《数字电子技术》课程设计

手动拨动LIFTCLK即开关SW1一次上升一层楼。图示为电梯已经上至三楼的状态。DOORLIGHT为高电平即LED灯亮表示电梯开门。3 电梯3楼下降至1楼模拟如图(5.3a)、图(5.2b)

图5.3(a)电梯处于3楼待下降状态

说明:电梯要实现从3楼下降至1楼则需要3DNBUTTON即SW6为高电平,STOP1BUTTON为高电平,同时BUTTONCLK即开关SW2为高电平,手动拨动LIFTCLK即开关SW1一次下降一层楼。图示为电梯在3楼待下降的状态。

图5.3(b)电梯已下降至1楼的状态

说明:拨LIFTCLK两次电梯已下降至1楼的状态。DOORLIGHT为高电平即LED灯亮表示电梯开门。

《数字电子技术》课程设计 心得体会

两周的课程设计实际的操作了整套电梯控制的设计,从刚开始的开题报告,到着手编写代码、连接模块原理图、仿真、分配引脚到最后下载到开发板验证。在课程设计前都是进行某个部分的操作,经过此次整套程序下来对FPGA及VHDL语言都有了更深的认识。

开始面对着书上的大段大段代码感到恐惧,但通过之后的一点一点输入修改,特别是在代码修改中体会到了耐心及细心的重要性,例如一个标题不吻合或少个引号在句末少个分号这些看似简单甚至不起眼的符号都足以让我们头疼找半天,但是随着这些错误的逐个排解也会产生极大的喜悦感。从刚开始的看着代码什么都不懂,到最后能够看懂一点,真的有很大的收获。刚开始还算比较顺利,但在译码模块出现了许多问题,不断的仿真失败、下载失败,不断的尝试最后能够成功的实现电梯控制的基本功能是这两周来和我的队友共同努力的结果。同时还学到了再完成一项任务时应该如何与自己的队友合作完成,要有自己的想法但也要善于尝试队友的建议。不论最后的成绩如何,都是自己努力来的成果。

《数字电子技术》课程设计 谢 辞

在取得这些成果的同时当然少不了两位老师的辛苦指导,两位老师在进行其他班级正常授课的同时还要过来指导我们完成课程设计,要较于平时辛苦很多。有几次同学的代码总是修改不成功,周老师在下班的时间依旧帮助他查找错误帮忙修改。上课时不停的有人喊“老师””老师这边”,你们依旧可以耐心的为我们一一解释错误的原因并指导我们解决那些问题。

在这里衷心的感谢两位老师为我们的付出。

《数字电子技术》课程设计

参考文献

[1]朱正伟,王其红,韩学超.EDA技术及应用(第二版)[M].北京:清华大学出版社,2013.3:p315-323

《数字电子技术》课程设计

附录一

总程序模块: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY THREEFLIFT IS PORT(BUTTONCLK: IN STD_LOGIC;LIFTCLK: IN STD_LOGIC;RESET: IN STD_LOGIC;F1UPBUTTON: IN STD_LOGIC;F2UPBUTTON: IN STD_LOGIC;F2DNBUTTON: IN STD_LOGIC;F3DNBUTTON: IN STD_LOGIC;FUPLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);FDNLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);STOP1BUTTON,STOP2BUTTON,STOP3BUTTON: IN STD_LOGIC;STOPLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);POSITION: BUFFER INTEGER RANGE 1 TO 3;DOORLIGHT: OUT STD_LOGIC;UDSIG: BUFFER STD_LOGIC);END THREEFLIFT;ARCHITECTURE a OF THREEFLIFT IS TYPE LIFT_STATE IS(STOPON1,DOOROPEN,DOORCLOSE,DOORWAIT1,DOORWAIT2,DOORWAIT3,DOORWAIT4,UP,DOWN,STOP);SIGNAL MYLIFT: LIFT_STATE;SIGNAL CLEARUP: STD_LOGIC;SIGNAL CLEARDN: STD_LOGIC;

《数字电子技术》课程设计

BEGIN CTRLIFT: PROCESS(RESET,LIFTCLK)VARIABLE POS: INTEGER RANGE 3 DOWNTO 1;BEGIN IF RESET='1' THEN MYLIFT<=STOPON1;CLEARUP<='0';CLEARDN<='0';ELSE IF LIFTCLK'EVENT AND LIFTCLK='1' THEN CASE MYLIFT IS WHEN STOPON1=> DOORLIGHT<='1';POSITION<=1;POS:=1;MYLIFT<=DOORWAIT1;WHEN DOORWAIT1=> MYLIFT<=DOORWAIT2;WHEN DOORWAIT2=> CLEARUP<='0';CLEARDN<='0';MYLIFT<=DOORWAIT3;WHEN DOORWAIT3=> MYLIFT<=DOORWAIT4;WHEN DOORWAIT4=> MYLIFT<=DOORCLOSE;WHEN DOORCLOSE=> DOORLIGHT<='0';IF UDSIG='0' THEN IF POSITION=3 THEN

《数字电子技术》课程设计

IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;ELSIF POSITION=2 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';MYLIFT<=DOORCLOSE;ELSIF STOPLIGHT(3)='1' OR(STOPLIGHT(3)='0' AND FDNLIGHT(3)='1')THEN UDSIG<='0';MYLIFT<=UP;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;ELSIF POSITION=1 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';MYLIFT<=DOORCLOSE;ELSE UDSIG<='0';MYLIFT<=UP;END IF;END IF;ELSIF UDSIG='1' THEN IF POSITION=1 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';

《数字电子技术》课程设计

MYLIFT<=DOORCLOSE;ELSE UDSIG<='0';MYLIFT<=UP;END IF;ELSIF POSITION=2 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSIF STOPLIGHT(1)='1' OR(STOPLIGHT(1)='0' AND FDNLIGHT(1)='1')THEN UDSIG<='1';MYLIFT<=DOWN;ELSE UDSIG<='0';MYLIFT<=UP;END IF;ELSIF POSITION=3 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;END IF;END IF;WHEN UP=> POSITION<=POSITION+1;POS:=POS+1;IF POS<3 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSIF POS=3 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')

《数字电子技术》课程设计

ELSE THEN MYLIFT<=STOP;MYLIFT<=DOORCLOSE;END IF;WHEN DOWN=> POSITION<=POSITION-1;POS:=POS-1;IF POS>1 AND(STOPLIGHT(POS)='1' OR FUPLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSIF POS=1 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSE MYLIFT<=DOORCLOSE;END IF;WHEN STOP=> MYLIFT<=DOOROPEN;WHEN DOOROPEN=> DOORLIGHT<='1';IF UDSIG='0' THEN IF POSITION<=2 AND(STOPLIGHT(POSITION)='1' OR FUPLIGHT(POSITION)='1')THEN CLEARUP<='1';ELSE CLEARUP<='1';CLEARDN<='1';END IF;ELSIF UDSIG='1' THEN IF POSITION>=2 AND(STOPLIGHT(POSITION)='1' OR fDNLIGHT(POSITION)='1')THEN CLEARDN<='1';

《数字电子技术》课程设计

ELSE CLEARUP<='1';CLEARDN<='1';END IF;END IF;MYLIFT<=DOORWAIT1;END CASE;END IF;END IF;END PROCESS CTRLIFT;CTRLIGHT: PROCESS(RESET,BUTTONCLK)BEGIN IF RESET='1' THEN STOPLIGHT<=“000”;FUPLIGHT<=“000”;FDNLIGHT<=“000”;ELSE

IF BUTTONCLK'EVENT AND BUTTONCLK='1' THEN IF CLEARUP='1' THEN STOPLIGHT(POSITION)<='0';FUPLIGHT(POSITION)<='0';

ELSE

IF F1UPBUTTON='1' THEN FUPLIGHT(1)<='1';

ELSIF F2UPBUTTON='1' THEN FUPLIGHT(2)<='1';END IF;END IF;IF CLEARDN='1' THEN

STOPLIGHT(POSITION)<='0';FDNLIGHT(POSITION)<='0';

ELSE

IF F2DNBUTTON='1' THEN FDNLIGHT(2)<='1';ELSIF F3DNBUTTON='1' THEN FDNLIGHT(3)<='1';END IF;END IF;IF STOP1BUTTON='1' THEN STOPLIGHT(1)<='1';

《数字电子技术》课程设计

ELSIF STOP2BUTTON='1' THEN STOPLIGHT(2)<='1';ELSIF STOP3BUTTON='1' THEN STOPLIGHT(3)<='1';END IF;END IF;END IF;END PROCESS ctrlight;END a;显示电梯上下状态的译码模块: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ssxx IS PORT(SEG: IN STD_LOGIC;Q3: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END ssxx;ARCHITECTURE ART OF ssxx IS BEGIN PROCESS(SEG)BEGIN CASE SEG IS WHEN '0' => Q3<=“0000001”;WHEN '1' => Q3<=“1001111”;WHEN OTHERS => Q3<=“1111111”;END CASE;END PROCESS;END ART;显示电梯所在位置的译码模块: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;

《数字电子技术》课程设计

USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY shuzi IS PORT(SEG: IN STD_LOGIC_VECTOR(2 DOWNTO 1);Q3: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END shuzi;ARCHITECTURE ART OF shuzi IS BEGIN PROCESS(SEG)BEGIN CASE SEG IS WHEN “01” => Q3<=“1001111”;WHEN “10” => Q3<=“0010010”;WHEN “11” => Q3<=“0000110”;WHEN OTHERS => Q3<=“1111111”;END CASE;END PROCESS;END ART;

《数字电子技术》课程设计

附录二

图9.1输入引脚分配

上图为所有模块中的输入引脚分配图。

图9.2输出引脚分配

上图为所有模块中的输出引脚分配图。

开关和数码管对应引脚及功能在3.3模块介绍中已说明。

第四篇:电梯课程设计心得体会

心得体会是指一种读书、实践后所写的感受性文字。语言类读书心得同数学札记相近;体会是指将学习的东西运用到实践中去,通过实践反思学习内容并记录下来的文字,近似于经验总结。以下是小编整理的电梯课程设计心得体会,欢迎阅读!

电梯课程设计心得体会

1通过近期的培训学习,本人切实的感觉到了自身的提高,在此感谢上级安排的此次学习活动,感谢每一位授课老师精彩的授课。此次的培训学习,使自己的理论基础,道德水准,业务修养等方面有了比较明显的提高,进一步增强了学习理论的自觉性与坚定性,增强了做好新形势下本职工作的能力和信心。参加本期培训本人主要有以下几方面体会和收获:

一、通过培训,使我进一步增强了对学习重要性和迫切性的认识

培训是一种学习的方式,是提高业务知识的最有效手段。21世纪是知识经济社会,是电子化、网络化、数字化社会,其知识更新、知识折旧日益加快。一个国家,一个民族,一个个人,要适应和跟上现代社会的发展,唯一的办法就是与时俱进,不断学习,不断进步。通过培训班的学习,使我进一步认识到了学习的重要性和迫切性。认识要面对不断更新的工作要求要靠学习,要靠培训,要接受新思维、新举措。要通过学习培训,不断创新思维,以创新的思维应对竞争挑战。我真正认识到加强培训与学习,是我们进一步提高业务知识水平的需要。加强培训与学习,则是提高自身工作能力最直接的手段之一,也是我们提高业务水平的迫切需要。只有通过加强学习,才能取他人之长补己之短,只有这样,才能不负组织重望,完成组织交给的工作任务。

二、通过学习培训,使我清楚地体会到要不断加强素质、能力的培养和锻炼

1是要不断强化全局意识和责任意识。全局意识,是指要站在全局的立场考虑问题,表现在政治上是一种高度的觉悟,表现在思想上是一种崇高的境界,表现在工作上是一种良好的姿态。要求我们用正确的思路来思考解决当前存在的问题,就是要求我们要有超前的思维,要有悟性,有创新精神,而不是仅仅做好自己负责的那一方面的工作了事,要始终保持开拓进取的锐气;要牢记全局意识,自觉适应目前形势发展需要,认真学习实践科学发展观活动,不断增强使命感和社会责任感,提高自身能力素质和调整好精神状态,为社会发展献计献策,贡献力量,要树立群众利益第一位,局部服从整体,小局服从大局的原则,始终保持健康向上、奋发有为的精神状态,增强勇于攻克难关的进取意识,敢于负责,勇挑重担。是要加强沟通与协调,熟练工作方法。要学会沟通与协调,要善于与领导、职工、相关服务单位进行沟通,要学会尊重别人,不利于团结的话不说,不利于团结的事不做,积极主动地开展工作。要经常反思工作、学习和生活,把反思当成一种文化,通过反思,及时发现自身存在的问题。

3是要敢于吃亏、吃苦、吃气,弘扬奉献精神。三吃是一种高尚的自我牺牲精神、奉献精神,是社会的主流风气。就是要为人处世要心胸开阔,宽以待人。要多体谅他人,遇事多为别人着想,即使别人犯了错误,或冒犯了自己,也不要斤斤计较,以免因小失大,伤害相互之间的感情。要树立奉献精神,树立吃苦、吃亏、吃气的思想,吃别人吃不了的苦,做别人做不了的事,忍别人忍不了的事,严格要求自己。

通过这次现场的学习,让自己收获很大,不仅仅是对电梯、空调的认识和了解,还有让自己最为震撼的是工地员工的工作态度和那份责任心,尽管是一个小小的电梯控制员,也对自己的工作尽职尽责。尽管工作环境很一般,工作的危险很大,但是他们却能够一直用快乐的心情去接受、对待。这是让自己受益最大的地方。希望以后恩能够通过这样的机会不断的提高自己

电梯课程设计心得体会

2通过本周二和公司同事一起去鹤壁对电梯安装进行学习和了解,补充了自己的电梯知识。也让自己进一步了解了这种现代建筑中垂直和水平的交通工具。首先了解了电梯的基本结构,电梯分为机房部门、井道部分、层站部分、轿厢部分。其中机房部分是指电源开关,控制柜,曳引机,导向轮和限速器。而电梯机房的位置一般在电梯井道的顶部,它包含了曳引机,导向轮,控制柜,限速器还有电源总控制盒等。机房对于电梯就像心脏对于人的身体,如果机房瘫痪了,则整个电梯全部无法运作了。

曳引机是电梯轿厢升降的主要控制因素,曳引轮上有六个绳槽装载着六根钢丝绳,连接着下面的导向轮和轿厢,依靠钢丝绳与曳引轮绳槽之间的摩擦力来驱动轿厢升降。同时为了控制电梯的升降速度过快,机房还配有限速器。当电梯的速度大于规定值时,限速器就会向控制柜(电梯控制柜安装在曳引机旁边,是电梯的电气装置和信号控制中心)发出信号,通过一系列的反应最后控制住电梯的速度,他一般安装在机房的地面上。电梯准备通电启动时,制动器上电松闸;当电梯停止运行,或电动机掉电时,制动器立即断电并靠弹簧力使制动器制动,曳引机停止运行并制停轿厢运行。

井道部分包含有导轨、导靴、导轨架、安全钳等。其中导轨和导靴是电梯轿厢和对重的导向部分,导靴的凹形槽与导轨的凸形工作面配合,使轿厢或对重沿着导轨上下移动实现导向功能。其中还有补偿装置,不过对这一块儿还是不太明白,就觉得他就是针对轿厢和对重的,如果这两个那个速度过快的话,他就跟着往那边运动,其中最常用的应该就是补偿链。后来去了机房看了安装后的实物,经过师傅和郭哥的讲解也明白了一些事物安装中出现的问题,例如:钢丝绳和井道之间的距离太短,这样直接影响了钢丝绳的寿命,因为水泥腐蚀性较强;控制柜安装时应考虑底座的问题,这样就能避免被水淹等为题;还有就是控制柜的上方是镂空的,安装好后应该采取相应的保护措施来进行保护,避免进入灰尘;还有就是控制柜线槽的安装,同样应该注意等等。

立 “以保养修”的设施设备运行管理宗旨

严格执行公司的周、月检制度,建立 “以保养修”的设施设备运行管理宗旨和 “零锈蚀、零故障、零报修”的设施设备运行管理目标。抓紧将员工培训融入到工作检查中,强调学习技术从身边开始,搞懂身边的设施设备,提高自身对设施设备工作原理的了解、了解每一器件设计的目的和所起的作用的员工培训为重点对维修员工技能、技术上进行检查,以提高对设施设备了解,把“以保养修”的设施设备运行管理宗旨进行落实,提高设备运行管理水平,杜绝设施设备重大事故的发生,保证设备的安全。如:

1、操作规程的检查。在工作检查中加强对维修员工操作规程及保养规程的熟悉情况进行检查,以此督促维修部对员工规程的培训工作,提高人员操作安全。开展同事之间的操作规程互相抽背,做到操作的人人了解规程,个个知道规范的操作。保障了人员的安全,实现了本维修操作无安全事故发生。

2、工具使用的规范。根据部分维修员工使用工具的不规范,加强抽查和指导,使大家规范使用工具。如螺丝刀不能来撬、敲物品,活动扳手应注意使用时的支撑点和受力点的选择,工具的规范使用增加了工具寿命,提高了使用人的人身安全。

3、设备作用和功能了解的检查。根据检查中发现部分员工对设备功能作用的不了解,加大员工设备基础知识的抽查,同时进行现场的讲解。刺激维修主管对设备知识的培训和员工主动学习设备基础知识的压力。

因为设施设备绝大多数的故障都是由于个别重要部件损坏造成,如二次供水系统的故障大多是由于止回阀和电磁阀、液位计造成,烧电机多为交流接触器的触点拉毛受损造成,电梯的故障多为光膜、门机、平层器、控制柜的各种接触器造成。要求各维修部逐步建立设备故障台帐,进行易出问题节点汇总,做到心中有数,加强此部位保养工作,提高设施设备安全保障。

第五篇:基于西门子PLC的变频调速和温度控制课程设计报告

PLC课程设计报告 变频调速和温度控制

姓 名: 学 号: 班 级: 学 院: 完成日期:

一.课程设计目的

1.了解常用电气控制装置的设计方法、步骤及设计原则

2.学以致用,巩固书本知识。通过训练,使学生初步具有设计电气控制装置的能力。从而培养和提高学生独立工作的能力和创造能力。

3.进行一次工程技术设计的基本训练。培养学生查阅书籍、参考资料、产品手册、工具书的能力;上网查寻信息的能力;运用计算机进行工程绘图的能力;编制技术文件的能力等等。从而提高学生解决实际工程技术问题的能力。二.设计题目

一.PLC控制变频调速系统设计与调试

控制要求:

1.变频调速器受 0 ~ 10V 输入电压控制:(实验室有可能是4-20mA电流信号)0V 输出频率为 0HZ,对应同步转速为 0 r/min; 5V 输出频率为 50HZ,对应同步转速为 1500 r/min; 10V 输出频率为 100HZ,对应同步转速为 3000 r/min;

输入电压与输出频率按线性关系变化。

2.要求输出转速按下图(见附图)函数变化,请编写梯形图控制程序,并完成调试。

课题要求:

1.按题意要求,画出 PLC 端子接线图及控制梯形图。

2.完成 PLC 端子接线工作, 并利用编程器输入梯形图控制程序,完成调试。3.完成课程设计说明书 二.温度控制 设计要求:

恒温控制实验模块,是一个简化的温控系统。其中,温度信号由Pt100传感器送致变送器的测温器提供为4~20mA的模拟量,送入PLC的EM235模拟量输入模块,经过控制程序处理后,以4~20mA的模拟量输出到晶体闸管调整器,晶闸管调整器控制电热丝的加热功率,使被加热的铝散热器温度控制再设定温度附近,组成一个恒温闭环控制系统。

1.要求用PLC内部PID调节功能设计恒温闭环控制系统的控制程序。

① 温度变送器出来的标准量范围是4~20mA,即输入值4mA对应0℃,20mA对应100℃。因此信号在使用之前必须将它划到对应的范围;

② PID调节功能直接使用PLC内部的PID回路指令;

③ 温度设定值为50℃,上限幅温度值为55℃,下限幅温度值为45℃;

④ 比例增益、采样时间、积分时间、微分时间、产生定时中断的间隔时间等参数值自行确定;

⑤ 由Q0.0到Q0.7输出8位BCD码(十进制两位)的温度值,到数码管上显示其0~99℃的温度值。

2.在设计梯形图程序之前,应画出流程图和I/O接线图(包括数码显示接线图)。

三.设计内容与过程

选题一:变频调速

PLC作为先进的、应用势头最强的工业控制器已风靡全球;变频器作为交流电动机的驱动器,广泛应用于现代的工业生产和民用生活中。通过本次设计掌握PLC控制系统、变频调速系统、电机拖动及测速显示系统的硬件的使用,电路、程序的综合设计方法及对编程软件的编辑及调试。

1. 实验设备

PLC控制电机变频调速系统由S7-200PLC、变频器、电机及电机测速系统、触摸屏等组成。需使用的实验设备有:上位计算机(PC机)一台;S7-200PLC一台、EM235模拟量扩展模块(4输入1输出)一块;PC/PPI编程电缆一根;模拟输入开关一套;JD-PLC变频调速实验模块一块;200VA自耦调压器一台;可加载/可测速的三相异步电动机系统一套。

2. 实验内容和步骤

AC220VKM变频器T1T2T3PCSTFSTRRHRMRLSD102SINK自耦调压器L三相电源1L2插座L3UVWP1+-ABCRUNSE1M涡流加载测速外部晶体管公共端DC24V电源接点输入公共(源型)正转启动反转启动高速中速低速接点输入公共3频率设定器(手动)2电机系统总成短路片FR-BEL端DC0.3~5V异常输出接PLC开关量输入运行运行状态输出集电极可接入PLC开开路输出关量输入端公共端(+)模拟信号输出(DC0~5V)(-)接PLCEM235SOURCE1电流输入(-)(来自PLC(+)EM235输出)(自动)5(公共端)AM54(DC4~20mA)RS-485接口主回路端子

图1 PLC控制电机变频调速实验总图

控制回路输入端子控制回路输出端子 三相控制电源模块AL1KMBL2CL3NNLSB1电源断变频调速实验模块变频器UU1VV1WW1NSB0电源通KMKML测速与加载M电机测速与加载实验台涡流制动电源输入端NLzL自偶调压器U2V2W2N

图2 PLC控制电机变频调速外部主电路接线图

控制过程:

0通过PLC控制变频器,使三相异步电动机按下图所示的曲线运行,电机运行可分为五个部分:第一部分要求电机起动后在25s内从0(r/min)线性增加到1168(r/min);第二部分进入恒转速运行阶段,运行时间为10s,转速仍为1168(r/min);第三部分进入减速阶段,电机转速要求在20s内降到584(r/min);第四部分保持584(r/min)10s;第五部分要求电机转速从584(r/min)在20s内降至0(r/min)

10V6.4V3.2V02535556585

参数计算及说明:

在电机变频调速控制系统中,变频器的输入信号是4~20mA 电流信号,而PLC的模拟量输出值范围是 0~20mA。0~20mA 的模拟量对应的 PLC 内部数字量是 0~32000,所以需要进行数据转换。4mA 对应的数字量是 6400,变频器输出 0Hz对应的电流信号为 4mA。所以第一部分加速阶段,要将频率设定电流信号从 4mA 增加到 16.8mA。编程时可以在6400刻度值的基础上,均匀地间隔一定时间逐步加刻度值到26880(如果间隔时间为0.1 s, 则82×250 =20500)。判断转速是否增加到1 168 r/min 的比较值必须是转速测量电压信号刻度值。照此法,同理可得到第三阶段(将频率设定电流信号从16.8mA减少至10.4mA,间隔时间为0.1 s, 则52×200 =10400)和第五阶段(将频率设定电流信号从10.4mA减少至4mA,间隔时间为0.1 s, 则51×200 =10200)减速部分输出的控制方法。

启动按钮SB1输入信号停止按钮SB2变频器线圈I0.0I0.1输出信号Q0.0

图3 I/O端口地址分配表

KM1LQ0.0Q0.1Q0.2Q0.31MI0.0I0.1I0.2I0.3SB1SB2

图4 I/O端子接线图

图5 PLC控制电机变频调速顺序功能图

开始初始化,调用子程序0电机启动按钮按下?YN定时器启动延时,电机加速加速时间25S已到(T37=1)?YN定时器T38启动,减速运行保持时间10S已到(T38=1)?NY定时器T39启动,减速运行减速时间20S一道(T39=1)?NY定时器T40启动,恒转速运行恒转速保持时间10S已到YN定时器T41启动,电机再次减速结束

图6 PLC控制电机变频调速流程图

PLC控制电机变频调速梯形图程序:

选题二:温度控制

本设计目的在于熟悉模拟量输入处理的一般方法,熟悉PID控制及模拟量模块的输入/输出及进一步熟悉子程序、中断等。

1.实验设备

上位计算机(PC机)一台;S7-200 PLC一台;PC/PPI编程电缆一根;模拟输入开关一套;JD-PLC9温度闭环控制系统实验模块;以及PLC,增加了EM235 4模入/1模出的模拟量扩展模块一块。

2.设计原理与内容

在这个闭环控制系统设计中,系统完全是采用实际工程中使用的小型器件组成,完全再现了温控装置的控制的真实工况。程序结构可分为输入信号处理和PID调节输出两大部分,其中两大部均涉及子程序调用,后一部分还涉及中断,具体也可为一段主程序、两段子程序、一段中断程序,主程序OBI中可以包含读入反馈信号、调用子程序、显示的平均值计算、两位显示换算和BCD码转换;子程序SBR_0是将所有待用的变量寄存器初始化清零,俗称“开辟空间”;子程序SBR_1为设置PID回路参数和产生定时中断,其中产生定时中断的中断事件号可查表;中断INT0是执行PID运算及输入/输出量换算。

因为PID回路指令的使用在回路表中只要填入输入信息和组态信息即可进行PID运算。要填好这些信息,有的还需要应用“自控” 方面的知识,加热系统的热惯性较大的系统,参数选择容易满足要求。由设计者填写的只是给定值(SPn)、增益(Kc)、采样时间(Ts)、积分时间(TI)、微分时间(TD)5个参数以及产生定时中断的间隔时间。如采样时间(Ts)可根据系统的特点适当放长一些,并可与定时中断的间隔时间同步。增益(Kc)、积分时间(TI)、微分时间(TD)在设计时系统参数无法获得,只要粗放地填写即可(为防止超调,一般取值较“小”),PID的这些调节参数可在调试时修整。恒温控制的限幅环节,主要是为了防止超调和失控而采取的保护措施。只要在温度超过限幅值时,将模拟量输出端电流信号置到4mA(最小输出)即可。但应注意模拟量模块的输入/输出的值都是选取的国际电工组织规定标准信号范围4~20mA,而西门子S7—200的模拟量扩展模块各种输入/输出档均是从0开始的,温度值与PLC的单极性刻度值的对应关系如图2-1所示。如果按原始“座标”0.5的给定值不是50℃温度设定值,因此,只要将原座标0点沿45°上移,将(4mA, 6400)作为新“座标”的的0点,这时0.5的给定值就是50℃温度设定值(最终0.5005)。编程时,可在信号读入/输出时将刻度值减/加6400,变化量为25600,即温度信号输入先减去6400,除以25600最后就转化过程变量PV。反之,可知PID输出值的转化。

刻度值32000(100%)2560019200(50%)6400(0%)04mA(0℃)12mA(50℃)20mAI(mA)(100℃)

图2-1 温度值与PLC的单极性刻度值的对应关系

实验模块上的温度显示,是将经取平均处理过的温度信号刻度值,通过四则运算指令化为0~99范围的温度值,再将其化为BCD码,送到QB1上输出,再经过导线将输出位与七段码译码器的输入端子连接,数码管上就能显示0~99℃的温度值。

℃0V+5VCOM12L3L十个温度显示B2C2D2A2A1B1C1D1Q1.0Q1.1Q1.2Q1.3Q1.4Q1.5Q1.6Q1.7S7-226开关量输出BCD码温度值

图2-2 数码管温度显示接线图

3.调试步骤

开机后,按预先设计好的恒温控制梯形图程序,键入程序编译下载(下位机需上电)后,运行该程序,调试时不断改变PID参数,使加热器总成的温度始终保持在50℃,达到设计要求,即完成实验。在运行该程序之前,应按图2-

2、图2-3和图2-4接线,特别应当注意数码管温度显示BCD码端接的是DC5V电源。当选择自动运行方式时,实验模块上的斜率调整电位器的接线端子R2和R3应短接

电流发送器RAMA+L++24V-A-RBMOB+VOB-„„IOEM235扩展模块接线端调功器信号端

图2-3 EM235接线图

EM235RAL0A+M0A-DC24V(+)(-)变送器~220V(插座)加热器Pt100to加热器总成C2C1-晶闸管调功器

图2-4恒温控制系统示意图

4.恒温控制梯形图程序: 四.本次设计心得体会

为期一周的PLC课程设计结束了,通过本次课程设计,我对S7-200系列PLC的特点有了更深的理解。利用了S7-200系列PLC的特点,对按钮、开关等输入/输出,模拟量输入/输出进行控制,主要实现了变频器在PLC控制作用下的变频调速。

在本次课程设计的实践环节中,我更深刻地理解和掌握了电器控制及可编程控制器(PLC)的理论知识和动手技能。参阅了大量的电器控制及可编程控制器(PLC)系统设计的书籍资料,查询了大量的图表、程序和数据,特别是PLC控制电机变频调速系统设计,使得课程设计的方案和数据更为翔实和准确,力求科学严谨,使本次以模拟量为主题的课程设计精益求精。

经历自己设计实验和查阅资料,让我了解了更多关于西门子S7-200和变频器方面的知识,让我了解了大概的选型和注意事项,并自己动手实验,参照一些编程试着去编一个看似很宏大的程序,资料上查到的是欧姆龙或者是三菱的编程语句,但是通过他们的编程思路,我们可以借鉴到自己的西门子S7-200程序设计中,编程序的过程中遇到了很多问题,通过不断的问同学,反复的思考,调试,终于编出了通过调用子程序和定时中断程序来达到控制的目的,此次课程设计让我收获颇多,在这个课程设计的过程中,既让我与同学加深了沟通,又让我学到关于西门子S7-200PLC的更多知识,对其他公司的PLC也有了一定的粗浅了解,我知道这知识仅是很少的一点,但我会在以后的学习中了解更多。而且通过本次综合性设计的实践和锻炼,我对PLC系统设计工作流程有了更深入的认识,也燃起了我努力把PLC学至精通的激情与信心。

最后我对于指导老师的不厌讲解和无私指导深表感谢!

参考文献

[1] 吴中俊,黄永红.可编程序控制器原理及应用[M].北京:机械工业出版社,2003.

下载基于西门子PLC s7-300的三层电梯课程设计报告word格式文档
下载基于西门子PLC s7-300的三层电梯课程设计报告.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    基于西门子PLC课程设计电子稿(机械手终结版)要点[范文大全]

    河南机电高等专科学校 课程设计报告书 课程名称: 课题名称: 系部名称: 专业班级:计控 102 姓 名: 学 号: 2012年 06月 20日 目 录 目 录 . .....................................

    毕业生西门子实习报告(定稿)

    实习单位:西门子威迪欧电机(上海)有限公司实习地点:上海实习时间:2013-7-25~2013-8-25一、实习目的、要求:1,了解企业的运作方式和日常业务。2,把书本中的知识放到实践中去,培养大......

    单片机课程设计要求-电梯(推荐5篇)

    单片机课程设计要求 ——电梯控制器功能模拟 一、 课程设计目标 使用给定的51单片机开发板实现电梯控制器的基本控制逻辑。包括但不限于以下子功能的组合: 1. 直流电机的旋......

    西门子plc 实验一报告

    1、联机 单击上图标示进行通讯, 单击上图标示进行联机。 2、梯形图编写 3、梯形图编译4、程序下载五、实验内容 梯形图: 六、实验心得: 通过本次实验的学习,我掌握了plc基本......

    高频课程设计报告

    一 设计课题名称 单边带调制解调电路的设计 二 课程设计目的、要求与技术指标 2.1 课程设计目的 (1) 巩固所学的相关理论知识; (2) 掌握电子系统的一般设计方法; (3) 会运用multisim......

    课程设计报告

    学 期:2014-2015-2 作 业:课程设计社会调研报告 班 级:社会工作131 学 号:1325012029 姓 名:蒋叶青 指导老师:钱雪飞老师 一.简介 时间:2015.5.26-5.28 地点:中国杭州......

    课程设计报告(范文)

    一. 设计题目 WFS-601面包电话制作 二. 主要内容 1、 分析面包电话机的功能,了解面包电话机的构造 2、 完成面包电话机的焊接,要求焊点符合焊接要求。电话要实现接听与呼叫以及......

    电梯整改报告

    整 改 报 告技术质量监督局安检科: 我公司针对****年12月5日电梯安全检查中所存在的问题作出如下整改: 1、 公司统一于***年12月9日14时在*****小区组织进行了电梯困人应急......