多嘴多舌多条路美文

时间:2019-05-15 11:51:16下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《多嘴多舌多条路美文》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《多嘴多舌多条路美文》。

第一篇:多嘴多舌多条路美文

甲是一名硕士研究生,这天,他到一家公司应聘,这是他应聘的第6家公司,之前他所应聘的5家公司都没有录用他。招聘人员看他仪表堂堂,谈吐不凡,问他要求薪水多少。甲说月薪5000元。招聘人员点了点头,让甲把简历放下,并对他说,回去等通知吧。甲听后,什么也没有说,就离开了公司,回家静静地等通知。

乙是一名刚毕业的本科生,也到这家公司应聘,这是他应聘的第8家公司了,之前的7家公司都没有聘用他,他不知道这第8家公司会不会录用他。公司招聘人员看乙穿得挺时尚,但说话有点幼稚,就皱了皱眉头,问他要求薪水多少。乙也要求月薪5000元。招聘人员没有点头,让乙把简历放下,回家等通知。

然而,就在乙转身要走的时候,他突然说了几句话:“从走进你们公司开始,我就深深地喜欢上了这里,公司新颖而不失传统的装饰,很让人迷恋。还有,虽然我是一名应届毕业生,但我会搞设计,而且在大一的时候,我学会了开车,驾驶技术堪称一流。所以,录用我,我可以一人兼两职,早上、晚上接送老总上下班没问题,而我只要一份薪水。”招聘人员又皱了皱眉,心想,这个年轻人话咋这么多。这时,一个人走到乙的面前,停下看了他一眼,没有说话,然后离开了。

招聘人员对乙说:“你的胆子可真大,竟然敢说给我们老总开车,刚才那位就是公司老总。我们不会录用你的,你再想办法吧!”乙一听,吐了吐舌头,扭头走了。

乙刚走,招聘人员就接到公司老总的电话,老总说他的司机聘用期满,剛才那个来应聘的小伙子,也就是愿意干两份工作只要一份薪水的小伙子,试用期3个月,3个月后如果感觉合格,薪水上万元,并与公司签订三年的劳动合同。招聘人员一下愣在那里,幸好乙走的时候,留下了简历,于是他赶紧给乙打了一个电话,并告诉他已被公司录用。

硕士研究生甲一直没有接到被这家公司录用的电话,而乙在应聘的第二天就上班了。乙说,他做梦都没想到自己的运气会这么好,其实,他对前去应聘的这第8家公司也没抱有希望,没想到竟然成功了。

乙心想,在这家公司工作,可以搞自己喜欢的设计,虽然给老总开车有点操心,但不多说话把车开好就行,这工作真是太好了。乙想了半天,终于知道公司录用他的原因,那就是在应聘时,抓住一切机会,多嘴多舌多说了几句,从而多出了一条路。

第二篇:多路智力竞赛抢答器

湖南工学院

多路智力竞赛抢答器设计报告书

课程名称:多路智力竞赛抢答器 系部名称:电气与信息工程系 专业名称:电子信息工程技术 班级名称:电信0702 设计人 :裴书茂 学号 :402070220 指导老师: 设计时间:

湖南工学院

(课程设计任务书目录)

前言 „„„„„„„„„„„„„„„„ 1 设计功能要求 „„„„„„„„„„„„„„ 2 抢答器框架设计 „„„„„„„„„„„„„ 3 电路设计 „„„„„„„„„„„„„„„ 4 1)抢答电路设计 „„„„„„„„„„„„ 4 2)定时电路设计 „„„„„„„„„„„„ 53)报警电路设计

„„„„„„„„„„„„ 64)时序控制电路设计 „„„„„„„„„„ 7元器件介绍 „„„„„„„„„„„„„„„„ 8 1)74LS148功能介绍 „„„„„„„„„„„ 8 2)74LS192功能介绍 „„„„„„„„„„„ 9 仿真电路实验 „„„„„„„„„„„„„„„„ 10 1)Proteus仿真电路图 „„„„„„„„„„实物制作 „„„„„„„„„„„„„„„„„ 11 1)原理图 „„„„„„„„„„„„„„„ 11 2)PCB制图 „„„„„„„„„„„„„„„ 12 3)焊接与调试 „„„„„„„„„„„„„„ 13 心得体会 „„„„„„„„„„„„„„„„„ 15 鸣谢 „„„„„„„„„„„„„„„„„„„ 15 参考资料 „„„„„„„„„„„„„„„„„ 15

前 言

随着电子技术的飞跃发展,社会发展步入了信息时代,随着信息时代对人才高素质和信息化的要求,随着高等教育发展的趋势,人们的生活水平提高,对精神文明生活的要求也跟着提高,这对电子领域提出了跟更高的要求。

电子学是一门应用很广泛的科学技术,发展及其迅速。要想学好这门技术,首先是基础理论的系统学习,然后要技术训练,进而培养我们对理论联系实际的能力,设计电路的能力,实际操作的能力,以及培养正确处理数据、分析和综合实验结果、检查和排除故障的能力。同时也加深我们对电子产品的理解。

智力抢答器的设计和测试作为此次课程设计的课题,我们采用一般意义上的设计方案,即采用集成芯片构成电路。

数字电路具有很多的有点:(1)便于高度集成化。由于数字电路采用二进制,因此单元电路的结构简单,允许电路参数有较大的离散性,便于集成。

(2)工作可靠性高,抗干扰能力强。数字信号用二进制表示,数字电路的识别能力强。

(3)(4)(5)数字信息便于长期存放。

数字电路集成产品多,通用性强,成本低。

保密性好。数字信息容易进行加密处理,不易被窃取。

设计功能要求

一、功能要求

1、设计制作一个可容纳5组参赛的数字式枪答器,每组设置一个抢答按钮供抢答者使用。

2、给主持人设置一个控制开关,用来控制系统的清零和抢答的开始。

3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号(1~5),同时扬声器给出音响提示。同时禁止其他选手抢答。

4、抢答器具有定时抢答的功能,抢答时间设定为30秒,当主持人按下开始按钮时,定时器立刻倒计时,并显示。选手在设定的时间内抢答有效。超过时间抢答无效,定时显示器显示00。

二、设计步骤与要求

1、拟定电路的组成框图,要求能实现所有功能,使用的元器件少,成本低。

2、设计并安装电路,要求布线整齐、美观,便于级联和调试。

3、测试所设计抢答器的逻辑功能,满足各项功能要求。

4、画出整机逻辑电路图。

5、写出设计报告。

抢答器框架设计

定时抢答器的总体框图(如图

1.1)所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。

图1 如图所示为总体方框图。工作原理为:接通电源后,主持人将开关拨到“清零”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始“状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

电路设计

一、抢答电路设计

设计电路如图2所示。电路选用优先编码器 74LS148 和锁存器 74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。

图2 工作过程:开关S置于”清除“端时,RS触发器的 R、S端均为0,4个触发器输出置0,使74LS148的优先编码工作标志端(图中5号端)=0,使之处于工作状态。当开关S置于”开始“时,抢答器处于等待工作状态,当有选手将抢答按键按下时(如按下S5),74LS148的输出经RS锁存后,CTR=1,RBO(图中4端)=1,七段显示电路74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,CTR

=1,使74LS148 优先编码工作标志端(图中5号端)=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的 此时由于仍为CTR=1,使优先编码工作标志端(图中5号端)=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置“清除”然后再进行下一轮抢答。74LS148为8线-3线优先编码器,表1为其功能表。

表1

二、定时电路设计

节目主持人根据抢答器的难易程度,设计一次抢答的时间,通过预置时间电路对计数器进行预置,选用十进制同步加减计数器74LS192进行设计,计数器的时钟脉冲由秒脉冲电路提供。具体电路如图3。

原理及设计:该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和2个7段数码管即相关电路组成。具体电路如图3所示。两块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号由时钟产生电路提供。原理及设计:该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和2个7段数码管即相关电路组成。具体电路如图3所示。两块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号

图3 由时钟产生电路提供。按键弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管DPY_7-SEG 上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时,输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢答无效。结合我们的实际经验及考虑到元器件的成本,我们选择的电阻值为R1=15K,R2=68K,C=10uF,代入到上式中即得,即秒脉冲。

三、报警电路设计

由555 芯片构成多谐振荡电路 ,555 的输出信号再经三极管放大 ,从而推动扬声器发声。

控制电路包括时序和报警两个电路 ,如图4 所示。控制电路需具有以下几个功能。

主持人闭合开关扬声器发声 ,多路抢答器电路和计时电路进入正常状态;参赛者按键时 ,扬声器发声 ,抢答电路和计时电路停止工作;抢答时间到 ,无人抢答 ,扬声器发声 ,抢答电路和计时电路停止工作

图4

四、时序控制电路设计

时序控制电路是抢答器设计的关键,它要完成以下三项功能。

1)主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。

2)当参赛选手按动抢答按键时,扬声器发声,抢答电路和定时电路停止工作。

图5 3)当设定的抢答时间到,无人抢答时,扬声器发声,定时和定时电路停止工作。

根据上面的功能要求,设计的时序控制电路如(图5)所示。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端。图11、4的工作原理是:主持人控制开关从”清除“位置拨到”开始"位置时,来自于(图2)中的74LS279的输出 1Q=0,经G3反相,A=1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为 1,门G2的输出 =0,使 74LS148处于正常工作状态,从而实现功能①的要求。当选手在定时时间内按动抢答键时,1Q=1,经 G3反相,A=0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出 =1,74LS148处于禁止工作状态,从而实现功能②的要求。当定时时间到时,则“定时到信号”为0,=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现(功能3)的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。

元器件介绍

一、74LS148功能介绍

在优先编码器电路中,允许同时输入两个以上编码信号。不过在设计优先编码器时,已经将所有的输入信号按优先顺序排了队。在同时存在两个或两个以上输入信号时,优先编码器只按优先级高的输入信号编码,优先级低的信号则不起作用。74148是一个八线-三线优先级编码器。

如图6所示的是八线-三线编码器74148的管脚图引脚图。

图6

二、74LS192功能介绍

74LS192是双时钟方式的十进制可逆计数器。

CPU为加计数时钟输入端,CPD为减计数时钟输入端。LD为预置输入控制端,异步预置。CR为复位输入端,高电平有效,异步清除。CO为进位输出:1001状态后负脉冲输出。BO为借位输出:0000状态后负脉冲输出。

图7

图8

仿真电路实验

一、Proteus仿真电路图

仿真电路课实现抢答功能,由于软件局限,报警电路没有在仿真当中。

实物制作

一、原理图

二、PCB制图

三、焊接与调试

在制板中最重要的一个环节就是线路的印制。在这次的制作中,由于打印的客观原因,使在转印的效果不是很好。做出的实物没有预期的好。这也是以后一定要注意的地方。

1)焊接部分需要注意的是:

严格按照线路的设计进行焊接,首先焊接过孔,在对元器件进行焊接。焊接时间不宜过久,但要完全熔着,以免造成冷焊。焊点的表面要平滑、有光泽。焊点完全冷却前,不可移动。电烙铁不用时要放置于电烙铁架上,并随时保持烙铁头的清洁。焊接完毕,要在烙铁头镀上薄层焊锡,避免氧化,并等冷却后再收存。

2)调试部分需要注意的是:

在通电之前必须对照原理图对线路进行详细的检查。检查是否有虚焊或者为焊接的点。可用万用表进行线路检查,看是否有线路在焊接的时候不慎连接在了一起,如有进行修改。在检查完毕之后才可以通电测试。如果在通电之后任然有问题,应立即断电,再仔细检查。

心得体会

通过这次设计,我的理论知识掌握得更扎实,动手能力明显提高。同时,通过网上搜索等多方面的查询资料,我学到许多在书本上没有的知识,也认识到理论联系实践的重要。在制作当中遇到了许多以前没遇到的困难。我们利用许多的方法去解决所遇到的问题。制作好以后,虽然基本符合设计要求,但我们总觉得欠缺点什么。这次设计,让我感受最深是。在仿真的阶段遇到很多的问题,计时电路不能随抢答而停止,还有就是由于软件的局限在仿真时候没有完成整体电路的调试,这也为最后的实物调试造成了困难。我们一定要具备一定的检查、排除电路故障的能力。我深刻认识到了“理论联系实际”的这句话的重要性与真实性。而且通过对此课程的设计,我不但知道了以前不知道的理论知识,而且也巩固了以前知道的知识。最重要的是在实践中理解了书本上的知识,明白了学以致用的真谛。在整个设计到电路的焊接以及调试过程中,我个人感觉调试部分是最难的,由于在印制电路板的时候效果不好出现很多的断线,这让整个焊接和调试很麻烦。调试是一个经验的积累过程,没有经验是不可能在短时间内将其完成的,可能也是老师要求我们加以提高的一个重要方面吧!

鸣谢

感谢老师的耐心指导;

感谢同学的帮助;

感谢湖南工学院电信系实验老师的大力支持;

感谢湖南工学院对我的支助。

参考文献

宋树祥《高频电子线路》北京大学出版社

谢自美《电子线路设计实验测试》华中科大出版社

第三篇:微机原理_多路抢答器设计论文

课程设计(论文)

课程名称:

微机原理与接口技术

目:

多路抢答器设计 院(系):

理学院

专业班级:电子信息科学与技术1301

名:

彭博

号:

131004033

指导教师:

张爱萍

2016 年 1 月 8 日 西安建筑科技大学课程设计(论文)

西安建筑科技大学课程设计(论文)任务书

专业班级:电子信息科学与技术1301 学生姓名: 彭博 指导教师(签名):

一、课程设计(论文)题目 多路抢答器设计

二、本次课程设计(论文)应达到的目的

通过本次课程设计,加深对微机原理基本知识的理解,逐步掌握Proteus的操作方法,并能仿真做出一个抢答器,从而进一步提高综合运用知识的能力,同时通过实习掌握书写课程设计报告书的步骤和方法。

三、本次课程设计(论文)任务的主要内容和要求(包括原始数据、技术参数、设计要求等)

1、熟悉Proteus软件和Emu8086软件,8086CPU及编程技巧;

2、熟悉8255A接口芯片,8253芯片及利用8255A芯片、8253芯片实现对外设控制的原理;

3、利用Proteus软件,以Intel 8086 CPU为核心,结合8255接口芯片设计抢答器仿真电路,在Emu8086软件环境下,编写程序,最终实现当抢答开始,若有选手按动按钮,在数码管上显示选手的编号,并且发生声响,直到按下复位按键,就可开始下一轮抢答。

四、应收集的资料及主要参考文献:

1、陈卫红《微型计算机基本原理与接口技术(第三版)》科学出版社 2015

2、刘云玲《微机原理与接口技术实验指导》清华大学出版社 2014

3、和宏《微机原理与接口技术——基于Proteus仿真的8086微机系统设计及应用》清华大学出版社 2015

4、邹逢兴《微机原理与接口技术经典实验案例集》高等教育出版社 2012

5、周景润《Proteus入门实用教程》机械工业出版社 2007

五、审核批准意见

教研室主任(签字)西安建筑科技大学课程设计(论文)

摘要

本次课程设计通过使用Intel8086 CPU控制,配合8255A可编程并行接口芯片、可编程计数器8253芯片与若干按钮开关以及数码管等来实现一个简易的多路抢答器的制作。这一设计利用了8255A芯片的输入与输出功能以及8253芯片的计时功能以及发声功能,通过汇编语言编程,使其具有抢答、显示、响声、复位的功能。

本次设计贴近生活,实用性高,判断精确,操作简单。它的功能实现是当比赛开始时,主持人读完题目即可按下开始运行电路的总开关,此时开始第一轮抢答,同时有7个选手进行抢答,第一个按下开关的选手的编号将会显示在数码管上,并且在抢答到的同时会有声响提示,其余选手再按下开关将会无效,此时只有通过按下按钮8才能重置数码管显示为零,开始下一轮抢答。

本设计使用Proteus仿真软件以及Emu8086汇编软件进行设计,不需要进行实际电路的安装,只需要在一台安装有Proteus以及Emu8086的计算机上进行仿真设计以及调试即可。具有线路简单、结构紧凑、性能优越等特点。

关键词:抢答器,8086,8255A,8253,Proteus西安建筑科技大学课程设计(论文)

Abstract

This experiment by using Intel8086 CPU control, with 8255 a programmable parallel interface chip and several programmable counter 8253 chip and push button switch and digital tube and so on to achieve a simple multiplex buzzer.This design using the input and output functions of the 8255 a chip and 8253 chip timing function and voice function, through the assembly language programming, make its have vies to answer first, display, sound and reset function.The design of press close to life, high practicality, judgement precision, simple operation.The realization of the function of the it is when the game starts, the host read subject to press start running circuit without the master switch, at this time to start the first round of the contest, at the same time, there are seven contestant vies to answer first, first press the switch of the contestant's serial number will be displayed on the digital tube, and at the same time of vies to answer first to have sound prompt, the rest of the players to press the switch will be invalid, this time can only be reset by pressing the button 8 digital tube display is zero, begin the next round of vies to answer first.This design uses Proteus simulation software and Emu8086 assembly software to carry on the design, do not need to undertake the installation of the actual circuit, only need a 7-day with Proteus and Emu8086 computer simulation design and debugging.Has the circuit simple, compact structure, superior performance, etc.Key words: buzzer, 8086, 8255A, 8253, Proteus

西安建筑科技大学课程设计(论文)

目录 绪论.................................................1 1.1 设计介绍.......................................1 1.2 Proteus仿真软件介绍...........................1 1.3 抢答器介绍.....................................2 1.3.1 抢答器主要功能...........................2 1.3.2 抢答器主要组成...........................2 1.3.3 抢答器的工作原理.........................2 2 硬件设计原理.........................................3 2.1 电路图设计.....................................3 2.2 电路中各芯片介绍...............................4 2.2.1 Intel 8086 处理器........................4 2.2.2 可编程并行接口芯片8255A.................5 2.2.3 可编程计数/计时器8253芯片...............7 2.2.4 其他芯片.................................8 3 软件设计原理........................................10 3.1 流程图........................................10 3.2 程序..........................................11 4 系统仿真调试........................................14 4.1 运行程序开始抢答..............................14 4.2 选手按下按钮抢答..............................14 4.3主持人重置抢答器..............................15 5 心得体会............................................16 参考文献..............................................17 西安建筑科技大学课程设计(论文)绪论

1.1 设计介绍

本设计是基于Intel 8086处理器进行设计的抢答器装置,通过处理器以及总线、数码管、一系列开关之间的配合,连接成为一个抢答器。本次设计由于条件所限,使用了Proteus仿真软件进行模拟,这样做的好处有:形式直观、成本低廉、方便调试、便于修改。

1.2 Proteus仿真软件介绍

Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(仿真软件)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,而我们在本次的课设中,用到的就是使用Proteus来仿真8086处理器。图1-1是Proteus软件界面。

图1-1 Proteus界面

第四篇:EDA课程设计 多路彩灯设计

多路彩灯控制器的设计

O 引言

硬件描述语言(HDL)是相对于一般的计算机软件语言如C,Pascal而言的。HDL是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。设计者可以利用HDL程序来描述所希望的电路系统,规定其结构特征和电路的行为方式,然后利用综合器和适配器将此程序变成能控制FPGA和CPLD内部结构,并实现相应逻辑功能的门级或更底层的结构网表文件和下载文件。VHDL(VeryHigh Speed Integrated Circuit Hardware descriptionLangtuage)主要用于描述数字系统的结构、行为、功能和接口。与其他的HDL语言相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构。从逻辑行为上描述和设计大规模电子系统的重要保证。在文献中作者从不同的角度阐述了EDA技术的应用,它具有功能强大、描述能力强、可移植性好、研制周期短、成本低等特点,即使设计者不懂硬件的结构,也能进行独立的设计。本文以Alter公司提供的Max+PlusⅡ为平台,设计一个可变速的彩灯控制器,可以在不修改硬件电路的基础上,仅通过更改软件就能实现任意修改花型的编程控制方案,实现控制16只LED以6种花型和两种速度循环变化显示,而且设计非常方便,设计的电路保密性。

(一)实验目的

1. 进一步掌握数字电路课程所学的理论知识。

2. 了解数字电路设计的基本思想和方法,学会科学分析和解决问题。3. 熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。

4.培养认真严谨的工作作风和实事求是的工作态度

一、设计任务与要求

(1)要有多种花形变花。

(2)多路花形可以自动变换循环往复。(3)彩灯变幻的快慢接拍可以选择。(4)具有清零开关。

二、总体框图

(一)设计思路

用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。首先应进行系统模块的划分,规定每一个模块的功能以及各模块之间的接口,最终设计方案分为三大模块:16路花样彩灯显示器、时序控制器、整个电路系统,从而达到控制彩灯闪烁速度的快慢和花型的的变换。1.自动控制多路彩灯按预设的花型进行变换;

2、花型种类不少于三种,花型自拟; 3.分别用快慢两种节拍实现花型变换。4.选择:用可编辑逻辑器件实现。

(二)总体方案的设计

根据题目实际要求,经过分析与思考,拟定以下两种方案:

方案一:总体分为三个模块。第一块实现花形的演示,第二块实现花形的控制及节拍控制;第三块实现时钟信号的产生。

方案二:整体电路分为四块。第一块实现花形的演示;第二块实现花形的控制;第三块实现节拍控制;第四块实现信号产生。

方案三:有三个模块,第一个模块是时钟控制模块,第二块是花形控制模块,第三块是整体模块。

(三)总体设计的选择

三种方案比较发现,第三种方案相对简单。这样设计其优点在于:设计思想比较简单,元件种类使用较少,且易于连接电路。基于以上原因,加上短时间内完成课程设计,我选择了连线少的,易于连接和调试的方案。

(四)总体设计的选择 1 设计原理

时序控制电路SXKZ根据输入信号CKL_IN,CLR,CHOSE_KEY产生符合一定要求的、供显示控制电路XSKZ使用的控制时钟信号,而显示控制电路XSKZ则根据时序控制电路SXKZ输入的控制时钟信号,输出6种花形循环变化的、控制16路彩灯工作的控制信号,这些控制信号加上驱动电路一起控制彩灯工作。2系统设计方案

根据系统设计方案要求可知,整个系统共三个输入信号:控制彩灯节拍快慢的基准时钟信号CLK_IN,系统清零信号CLK,彩灯节拍快慢选择开关CHOSE_KEY:共有16个输出信号LED【15..0】,分别控制16路彩灯。

我们可将整个彩灯控制器CDKZQ分为两大部分:时序控制电路SXKZ和显示控制电路XSKZ,整个系统的组成原理如下图。

三、选择器件

16X16LED显示

EPI12版上SW【0】拨码 CLK1p

四、功能模块

(一)时序控制电路的VHDL源程序 ――SXKZ。VHDH library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sxkz is

port(chose_key:in std_logic;

clk_in:in std_logic;

clr:in std_logic;

clk:out std_logic);end entity sxkz;architecture art of sxkz is

signal cllk:std_logic;

begin

process(clk_in,clr,chose_key)is

variable temp:std_logic_vector(2 downto 0);

begin

if clr='0'then ――当CLK='0'时清零,否则正常工作

cllk<='0';temp:=“000”;

elsif rising_edge(clk_in)then

if chose_key='1'then

if temp=“011”then

temp:=“000”;

cllk<=not cllk;

else

temp:=temp+'1';

end if;--当CHOSE_KEY=’1’产生基准时钟频率的1/4的时钟信号,否则产生基准时钟--频率的1/8的时钟信号

else

if temp=“111”then

temp:=“000”;

cllk<=not cllk;

else

temp:=temp+'1';

end if;

end if;

end if;end process;clk<=cllk;end architecture art;

时序控制电路SXKZ的仿真图如下;

时序控制电路SXKZ的功能是,用CHOSE_KEY控制输入信号CKL_IN的快慢节拍。而CLR是控制开关。

(二)显示控制电路的VHDL源程序--XSKZ.VHDL library ieee;use ieee.std_logic_1164.all;entity xskz is

port(clk:in std_logic;

clr:in std_logic;

led:out std_logic_vector(15 downto 0));end entity xskz;architecture art of xskz is

type state is(s0,s1,s2,s3,s4,s5,s6);

signal current_state:state;

signal flower:std_logic_vector(15 downto 0);

begin

process(clr,clk)is

constant f1:std_logic_vector(15 downto 0):=“***1”;

constant f2:std_logic_vector(15 downto 0):=“***0”;

constant f3:std_logic_vector(15 downto 0):=“***1”;

constant f4:std_logic_vector(15 downto 0):=“***0”;

constant f5:std_logic_vector(15 downto 0):=“***1”;constant f6:std_logic_vector(15 downto 0):=“***1”;--六种花形的定义

begin

if clr='1'then

current_state<=s0;

elsif rising_edge(clk)then

case current_state is

when s0=>

flower<=“***0”;

current_state<=s1;

when s1=>

flower<=f1;

current_state<=s2;

when s2=>

flower<=f2;

current_state<=s3;

when s3=>

flower<=f3;

current_state<=s4;

when s4=>

flower<=f4;

current_state<=s5;

when s5=>

flower<=f5;

current_state<=s6;

when s6=>

flower<=f6;

current_state<=s1;

end case;

end if;

end process;

led<=flower;end architecture art;

显示控制电路XSKZ的仿真图如下;

显示控制电路XSKZ的功能是控制花形的。

(三)整个电路系统的VHDL源程序--CDKZQ.VHDL library ieee;use ieee.std_logic_1164.all;entity cdkzq is

port(chose_key:in std_logic;

clk_in:in std_logic;

clr:in std_logic;

VGA: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

led:out std_logic_vector(15 downto 0));end entity cdkzq;architecture art of cdkzq is

component sxkz is

port(chose_key:in std_logic;

clk_in:in std_logic;

clr:in std_logic;

clk:out std_logic);

end component sxkz;

component xskz is

port(clk:in std_logic;

clr:in std_logic;

led:out std_logic_vector(15 downto 0));

end component xskz;

signal s1:std_logic;

begin

VGA<=“0110”;

u1:sxkz port map(chose_key,clk_in,clr,s1);

u2:xskz port map(s1,clr,led);end architecture art;

整个电路CDKZQ系统的仿真图如下;

整个电路CDKZQ系统是把SXKZ与XSKZ综合成一个电路。

五、总体设计电路图

花形变化***1——***0——***1——***0——***1——***1——***1循环变化如下图所示:第一个花形;

第二个花形;

第三个花形;

第四个花形;

第五个花型;

第六个花形;

六、分析与总结

用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDH“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的。它使的我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。通过使用EDA编程既方便又快捷的实现了程序本次设计的程序已经在硬件系统上得到了验证,实验表明,此设计方法能够满足多种不同花样彩灯的变化要求。并且该方法便于扩展不同变化模式的彩灯花样。

电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。他不但能巩固我们以所学的电子技术的理论知识,而且能提高我们的电子电路设计水平,还能加强我们综合分析问题和解决问题的能力。进一步培养我们的实验技能和动手能力,启发我们的创新思维。

使用VHDL语言设计电路,思路简单,功能明了。使用Max+PlusⅡ设计电路不仅可以进行逻辑仿真,还可以进行时序仿真,使用PLD不仅省去了电路制作的麻烦,还可以反复进行硬件的实验,非常方便地修改设计,且设计的电路的保密性强。总之,采用EDA技术使得复杂的电子系统的设计变的简单易行,提高了设计的效率。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文介绍了基于EDA技的多路彩灯控制器的设计与分析

七、心得体会

本次课程实习我虽然用了两个星期的时间就全部做完,但整个过程我都认真的完成了,而且从中收获很多。可以总结为以下的几点: 1,对EDA知识的巩固与提高

这次课程设计主要是运用VHDH设计的一些相关知识,在整个实习过程中,都离不开对EDA课程知识的再学习。我在最开始,就先将实习用到的知识通过翻阅数电书回顾了一遍(这也是对这门课的复习,给以后的复习备考减少了很多负担),这样的回顾让我对知识的理解更加透彻,对后来的快速设计起了很好的铺垫作用。

2,学会了理论联系实际

课程设计,通过选择的题目,根据要求,运用所学知识将其付诸实践来完成。这并不是在课堂上的单纯听懂,或者课后看书过程中的深入理解,这需要的是一种理论联系实践的能力。理论知识往往都是在一些理想状态下的假设论,而实际的动手操作则完全不同,需要考虑实际中的很多问题。有些知识在理论上可能完全没错但到了实际中则不然。比如在动笔做题时我们是不用考虑导线的电阻的,但在实际中,导线电阻有时是会带来时延造成花型变化的错乱,所以我们应尽量在连接电路时选择最短路径。

3,学会了如何运用电路板、芯片、导线等组装各种功能的电路;

虽然这不是第一次用电路板,因为之前的课内实验也用过,但当时的运用也只是插些导线和电阻电容之类的,用了电路板的很小部分。这次的实习中应用了整块板子,实习后对电路板的组成完全了解了,并能熟练运用。实习中通过对电路的连接也懂得了如何通过设计的分析对所连电路的整体布局,如何更好的设计模块将它放在最合适的位置。一个完美的作品不仅要能很好的完成要求实现功能,还要在感官上给人美的享受。所以站在美的角度对自己的电路进行改良是很必要的。

4,和同学的互相协作共同进步

在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的idea,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。5,其他

课程实习设计是开端,设计是关键,测试是必须。所以实现过程中不仅要求对知识的掌握要足够准确与精通,更要有绝对的耐心与细心。设计模块电路时一定按照自己的设计图仔细设计这会对后面的测试起到很好的铺垫作用。在后面查错时就不用花费精力在检查上,可以给减少很多后续工作。我在这次的实习中其实也有连错线的时候,但我很快检查出来调整了电路,结果测试电路后花型显示完全正确。没有费太多的功夫在检查电路上

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,最好的办法就是问别人,因为每个人掌握情况不一样,不可能做到处处都懂,发挥群众的力量,复杂的事情就会变得很简单。这一点我深有体会,在很多时候,我遇到的困难或许别人之前就已遇到,向他们请教远比自己在那冥思苦想来得快。通过这次彩灯设计之后,让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养展。

在这次的课程设计里深入的接触了运用电子集成元器件制作多路彩灯的过程,并和同学一起讨论用软件制作出了这一个多路彩灯控制系统。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践的

第五篇:汽车多路传输系统原理介绍

汽车单片机与局域网技术作业

姓名:刘奇

班级:汽检092

学号:091602213

指导老师:袁霞

汽车多路传输系统的介绍

一、车载总线的概述

CAN-bus(Controller Area Network)即控制器局域网,是德国BOSCH公司在80年代初为解决现在汽车中众多的控制与测试仪器之间的数据交换而开发的一种串行数据通信总线。CAN是一种多主方式的串行通讯总线,基本设计规范要求有高的位速率,高抗电磁干扰性,而且能够检测出任何的错误,是国际上信用最广泛的现场总线之一。它可靠性高、性能价格比高、适应性好。国外众多的汽车,如奔驰,宝马,大众等都采用了CAN总线技术。

二、CAN 总线的特点及组成1.CAN 总线的特点

数据总线与其模块部件组合在一起成为数据传输系统CAN数据传输系统的优点是:

(1)将传感器信号线减至最少,使更多的传感器信号进行高速数据传递。

(2)电控单元和电控单元插脚最小化应用,节省电控单元的有限空间。

(3)如果系统需要增加新的功能,仅需软件升级即可。

(4)各电控单元的监测对所连接的CAN 总线进行实时监测,如出现故障该电控单元会存储故障码。

(5)CAN 数据总线符合国际标准,便于不同厂家的电控单元间进行数据交换。

2.CAN 总线的组成CAN 数据总线由一个控制器、一个收发器、两个数据传输终端以及两条数据传输线组成。除数据传输线以外,其他元件都位于控制单元内部。

三、CAN-BUS总线实现多路传输的原理

CAN 被用来作为汽车电子控制装置之间的信息交换,使车上的各个电脑都能进行数据交流,形成车载网络系统。汽车不管有多少块电控单元,不管信息容量有多大,每块电控单元都只需引出两条线共同接在两个节点上,这两

条导线就称作数据总线,亦称BUS 线,如图3-1所示。CAN 数据总线可以比作公共汽车,公共汽车可以运输大量乘客,CAN 数据总线可以传输大量的数据信息。我们把这种在同一通道或线路上同时传输多条信息称为多路传输。事实上数据传

1输是依次传输的,但是传输速度非常快,似乎就是同时传输的。由于汽车常规线路系统各单元或传感器之间每项信息通过独立的数据线进行交换,而多路传输系统的ECU之间所有信息都通过两根数据线进行交换,所以多路传输所用导线比常规线路系统所用导线少得多,并且多路传输系统可以通过两(或一)根数据总线执行多个指令,因此可以增加许多功能。电子计算机网络用“电子语言”来“说话”,各电控单元必须使用和解读相同的“电子语言”,这种语言称“协议”。汽车电脑网络常见的传输协议有数种。新奔驰、宝马车装用博世公司产品,数据总线采用CAN 协议,这个协议是由福特、Internet 与博世公司共同开发的高速汽车通信协议。

3-1 CAN 总线

CAN 数据总线的传输过程如图3-2 所示。

(1)提供数据:控制单元向CAN 控制器提供数据用于传输。

(2)发送数据:CAN 收发器从CAN 控制器处接收数据,并将其转化为二进制电信号发送出去。这些数据以数据列的形式进行传输。

(3)接收数据:CAN 网络系统所有的控制单元的收发器都接收数据。

(4)检验数据:控制单元对接收到的数据进行检测,看此数据是否是其功能所需要。

(5)认可数据:如果接收到的数据是有用的,将被认可及处理,反之将其忽略。

3-2数据传输过程

四、CAN-BUS总线在一汽大众上的使用

一汽大众生产的宝来(BORA)轿车即采用了这种局城网络控制系统,Can-Bus技术BORA上的应用,减少了BORA轿车车体内线束和控制器的接口数量,避免了过多线束存在的互相干涉、磨损等隐患,降低了BORA轿车电气系统的故障发生率。在BORA轿车内,各种传感器的信息可以实现共享。另外,在Can-Bus技术的帮助下,BORA轿车的防盗性、安全性都得到了较大幅度提升。例如,在启动车辆时,确认钥匙合法性的信息会通过Can-Bus总线进行传递,其校验的信息比以往的防盗系统更为丰富。车钥匙、发动机控制器和防盗控制器互相存储对方信息,校验码中还掺杂了随即码,从而大幅提高盗能力。校验信息通过Can-Bus传递大幅提高了信息传递的可靠性,使防盗系统的工作稳定可靠。

五、大众 CAN 数据传输系统的故障诊断

当查询出CAN 数据总线有故障码时,应该对该系统进行诊断。需要使用的工具和仪表有检测盒VAG1598/

31、万用表VAG1526、成套辅助接线VAG1594 和电路图。关闭点火开关,拔下发动机电控单元插头,将检测盒VAG1598/31 插到电控单元上,此时不要连接线束插头。使用万用表测量58针与60 针之间的电阻,这是数据传输终端的电阻,规定值为60—72 欧姆,如不符合规定应更换发动机电控单元,如果符合规定应按照电路图测量数据总线的故障点。

六、结论

在汽车内部采用基于总线的网络结构,可以达到信息共享、减少布线、降低成本以及提高总体可靠性的目的。CAN 数据传输系统将传感器信号线减至最少,使更多的传感器信号进行高速数据传递;电控单元和电控单元插脚最小化应用,节省电控单元的有限空间;仅需软件升级系统就可以增加新的功能;各电控单元的监测对所连接的CAN 总线进行实时监测,如出现故障该电控单元会存储故障码。CAN 数据总线符合国际标准,便于一辆车上不同厂家的电控单元间进行数据交换。

下载多嘴多舌多条路美文word格式文档
下载多嘴多舌多条路美文.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    单片机课程设计(基于多路数字抢答器)(范文)

    一、概述 大学四年的学习生活中,会遇到各种各样的竞赛,抢答器便成为了主要的工具之一。而现在的抢答器智能化越来越强,这必然会提高抢答器的成本。本抢答器与其他抢答器电路相......

    润新自动多路阀,全自动软化阀,F65B说明书

    以下资料由东莞市润源水处理设备有限公司(http:www.xiexiebang.com)整理编辑。 如需了解更详细信息和询价购买,请联系: 电话:***(谢小姐) E-mail:1004@rly168.com Q Q:271......

    体育成绩能否纳入高考 还有许多路要走

    (本网记者 杨光)近来将体育成绩纳入高考中的呼声四起,专家分析之所以出现对执行已久的高考制度要求改革的呼声,完全是出于对我国学生体质健康状况呈现逐年下降趋势的担忧。至......

    MD730+多路广播解调器 调谐器 收音机 智能化收音头

    一.概述 MD730+多路广播调谐器有6路调谐器,可以同时监测6路广播频点的运行状况。市场常见的调谐器只可以实现多路监听却不能监测当前的信号强度,本产品不仅可以从面板上直观的......

    基于多路创新的员工迎新工作的研究和探索五篇

    基于多路创新的员工迎新工作的研究和探索 7月,陕西农行迎来了新一届的校园招聘新员工的入职。本着服务为先的准则,陕西农行人力资源部优化了员工入职流程,使新员工能一站式快速......

    多路彩灯控制器 8路移存型彩灯控制器 数字电路课程设计实验报告(5篇可选)

    多路彩灯控制器的设计 一 课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进......

    美文

    美文欣赏 狷老太 张丽钧 今年早些时候,杨绛先生诉“中贸圣佳国际拍卖有限公司、李国强侵害著作权及隐私权”一案获胜。有人说,103岁了还打官司,这老太太可真不饶人啊!我想,她要......

    美文

    无声的世界 有声世界和无声世界之间的差别就在于一个字。 作为活在无声世界的我,有声世界给我第一印象就是一幅有许多带着形状奇怪的音符的美声世界。不止这些,还有许多美好......