顶岗实习综合实践报告

时间:2019-05-12 05:58:52下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《顶岗实习综合实践报告》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《顶岗实习综合实践报告》。

第一篇:顶岗实习综合实践报告

机电一体化专业(09级)

顶岗实习综合报告

顶岗实习单位班 级名 称顶岗实习工种顶岗实习起迄时间

学 号姓 名

江海职业技术学院

机电一体化专业

2012年 1月

引言:(主要介绍工作岗位性质,生产产品的作用300字)顶岗实习目的(例:通过社会实践来达到锻炼自己的意志品质,同时积累一些社会经验和工作经验为自己即将步入社会做好铺垫。巩固专业知识,提高实际操作能力,丰富实际工作和社会经验掌握操作技能,将所学知识用于实际工作。同时也是为了减轻父母的经济负。)

顶岗实习内容和经历(还要详细介绍自己工作内容,最好有典型零件加工过程,有零件图和程序)

(例:从今年11月上旬旬开始,我在XXXXX有限公司进行毕业实践。所从事岗位是电子机械维修员。实践期间,我在领导、同事们的热心关怀和悉心指导下,我学着掌握各类电子机械的各个部分电路的分析和工作原理,并尝试学习故障的排除方法和维修的思路。通过实习,对电子机械产品的生产过程和原理有了一定的了解,同时使我获得了对电子机械产品的实际生产知识和装配技能,培养了我理论联系实际的能力,提高了我分析问题和解决问题的能力,增强了独立工作的能力。)

(加工零件图纸和程序)

顶岗实习感想与体会:

(例文:实习期间,除了浅层次地学习了专业技能外,我还感受和体会到了很多技能之外的东西。首先是工作人员的敬业和那种生机蓬勃的工作氛围。走进这样的一个集体中,你的心会不由自主地年轻起来,你的脚步会不由自主地跟着大家快起来,远远的脱离了我们

学校以前的那种懒散、自由的作风,而你的工作态度更会变得努力、认真,再认真一些,再努力一点。也许,这就是一个集体的凝聚力,这就是一个企业写在书面之外的“特殊文化”!人往往是很执着的,尤其是在人际交往和待人处事方面。师傅告诉我,对待朋友,切不可斤斤计较,不可强求对方付出与你对等的真情,要知道给予比获得更令人开心。如果你只问耕耘不问收获,那么你一定会交得到很多朋友。不论做是事情,都必须有主动性和积极性,对成功要有信心,要学会和周围的人沟通思想、关心别人、支持别人。

通过近两个月的顶岗实习生活,让我明白电子机械维修不是一个简单的事情。这是一个非常艰难而又需要耐心的任务,它的目的和意义是十分重大的。通过对定时器的维修,了解了一般电子产品的生产维修过程,初步学习维修电子产品的方法,培养维修动手能力及一丝不苟的科学作风。在维修中不仅要检查焊接的地方是否虚焊,各个二极管、三极管是否有极性焊错、位置装错以及是否有电路板线条断线或短路,焊接时有无焊接造成的短路现象,电源的引出线的正负极是否正确。还要通电检测——在通电状态下,仔细调节查看问题出在哪里,再进行维修。不过在整个过程中一定要有耐心。

作为一个即将毕业的大学生,我很清楚的知道,眼高手低是我们的通病。所以,在自己动手能力还很弱的情况下,我更乐意从最基本的东西学起、做起,比如元器件的认识与焊接等。在师傅对我尽心尽力地指导和帮助下,尽可能最大程度地容忍我的很多欠妥之处,一点一滴的温暖让我很感激她。

在想来,学期末的实习生活,我收获还是蛮大的。我所学到的生活的道理是我在学校里无法体会的,这也算是我的一份财富吧。现今,在人才市场上大学生已不是什么“抢手货”,而在每个用人单位的招聘条件中,几乎都要求有工作经验。所以,大学生不仅仅要有理论知识,工作经验的积累对将来找工作也同样重要。事情很简单,同等学历去应聘一份工作,公司当然更看重个人的相关工作经验。就业环境的不容乐观,竞争形式的日趋激烈,面对忧虑和压力,我必须努力改变自己,学习更多的知识。在实习中,我体会到了工作的辛苦,锻炼了自己的意志品质,同时积累一些社会经验和工作经验。这些经验是一个大学生所拥有的“无形资产”,真正到了关键时刻,它们的作用就会显现出来大学生除了学习书本知识,还需要参加社会实践。在这次实习中,我懂得了理论与实践相结合的重要性,获益良多,这对我今后的生活和学习都有很大程度上的启发。这次的实习是一个开始,也是一个起点,我相信这个起点将会促使我逐步走向社会,慢慢走向成熟。)

顶岗实习总结:

(例文:这次顶岗实习,我学到了:

一、在社会上要善于与别人沟通,要融入社会这个团体中,只有人与人之间合力做事才能事半功倍,别人给你的意见,你要听取、耐心、虚心地接受。

二、在工作上要有自信。社会经验的缺乏,学历不足等种种原因会使自己缺乏自信。只要有自信,就能克服心理障碍,那一切就变得容易

解决了。自信不是麻木的自夸,而是对自己的能力做出肯定。

三、知识的积累是非常重要的。就如人的血液一般,少了血液,人的身体就会衰弱,缺少了知识,头脑就要枯竭。

通过短短的两个月的培训,就像一个小小的切口。通过它,我不仅证明了自己能做好这一份工作,还了解相关的理论知识,了解了实际操作能力。虽然这次接触的是电子业,但对我来说并不是很陌生,要想把工作做好,也只有多多了解这方面的知识,对其各方面都有深入的了解,这样才能更好地应用于工作中。

从无知到认知,到深入了解,让我深刻的体会到学习的过程是最美的。在整个实习过程中,我每天都有很多的新的体会,新的想法,想说的很多,也从中学到了很多课本没有的东西。在就业心态上我也有很大改变,找一份适合自己爱好,专业对口的工作很难,初到社会的我们应尽快丢掉对学校的依赖心理,学会在社会上独立,敢于参加与社会竞争,敢于承受社会压力,这样才能使自己在社会上快速成长。)

总体来说分:引言、顶岗实习目的、顶岗实习内容和经历、顶岗实习感想与体会、顶岗实习总结。

这5个部分,除了第三部分 顶岗实习内容要详细外,其他的和这个差不多就可以了。

第二篇:顶岗实习综合报告(精选)

顶岗实习综合报告

一 前言

基于完善学院教学任务的需要,也是我们汽车专业学生的职业培养的需要,在重庆长安股份有限公司江北工厂焊接二车间实习的过程中,我们一步步的成长,一点点的进步。毕业实习是每个大学生必须拥有的一段经历,它使我们在实践中了解社会,让我们学到了很多在课堂上学不到的知识,受益匪浅,也打开了视野,增长了见识,是我认识到将所学的知识具体应用到实践中,为以后进一步走向社会打下坚实的基础,只有在实习期间尽快调整好自己的学习方式,适应社会,才能被这个社会所接纳,进而在社会生存发展。

二 实习目的1.通过本次实习使我们从理论的高度上升到实践的高度,更好的实现理论和实践的结合,为我以后的工作和学习奠定初步的知识。

2.综合运用所学的理论知识、方法和技能,开展实际工作,培养和强化社会沟通能力。

3.树立新的目标和发展起点,通过实习认识社会的需要,发现自身的差距,培养锐意创新进取的精神和良好的职业精神,适应毕业后实际工作的要求,并能感受到从一名学生向一名职业人转变的过程。

三 实习内容

长安M302车门焊接线

长安M302车门焊接线主要是对车型为M302的左右滑门、前罩、左右前门、背门蒙皮之间的焊接,再通过油压机,最后是针焊,一个完整的车门焊接体系。

对于车门的焊接工具是悬挂式点焊机。、悬挂式焊机的原理及结构和基本的维修是每个员工必须得掌握的。

焊机原理

悬挂式点焊机简称悬挂焊机,是电阻焊点焊的一种。电阻焊是工件组合后通过电极施加压力,利用电流通过接头的接触面及邻近区域产生的电阻热进行焊接的方法,分为点焊、凸焊、对焊、滚焊。

结构分类

悬挂焊机由焊钳、焊机变压器、焊机控制器、水冷却系统、气动加压系统、悬挂装置等部分组成,根据焊臂的动作分为两种:X型与C型。X型悬挂焊机的焊臂像剪刀一样地张开、闭合,该类焊机适用于尺寸大且焊接位喉深大的工件焊接,其常用焊臂长度有330mm、420mm、500mm、600mm,最长可达1200mm,特殊的还可定制。C型悬挂焊机静止的焊臂似L状,另一焊臂垂直于L型焊臂的短边,两条焊臂构成的包围圈在没有闭合时像字母“C”因此而得名。此类焊机适用于工件大但焊接位喉深不大、对焊接速度要求较高的行业,例如风管口里管道与法兰角铁的焊接。应用范围

悬挂焊是采用工件不动,焊钳移动的加工方式,主要用于焊接一般固定式焊机不能或不便焊接的低碳钢、低合金钢、不锈钢、镀层钢、板材及圆钢。广泛用于汽车、机车车辆、防盗门、箱柜、家用电器及建筑、丝网点焊等行业

编辑本段悬挂式点焊机特点

1.结构紧凑,维修方便,体积小、重量轻,大大降低了工人的劳动强度和很大程度上节约了能耗。

2.悬挂式点焊机的电极臂采用优质铬锆铜(CuCrZr-1),保证了焊接性能的稳定

性和焊机的使用寿命及电极臂的强度。

3.悬挂式点焊机气路系统通径大,再配以进口气动元件,使焊钳动作快捷,提高了

生产效率。

4.焊接时,加热时间短,热量集中,无电弧、无火花飞溅、无焊渣、无熔焊堆积、焊件无热变形。加之焊机创新后,气路系统通径增大,因此不仅焊接生产率高,而且

能耗低(节电72.3%),焊件外观美,质量好。

5.焊接是利用电阻热与机械力的恰当配合完成的,所以能获得焊件焊核的高强度

优质焊点。

6.由于焊接过程简单,又不需要填充材料和溶剂、也不需要保护气体,所以成本

低。

7.由于焊件焊点的电流密度高,温度也高,因此通过焊机控制器精确控制通电时

间后,使焊点得到重复性好的熔核尺寸,所以能适应多类同种或异种金属及镀层钢板的焊接。

8.悬挂式点焊机是通过焊机控制器改变晶闸管导通角来进行热量调节的,因而易

于实现机械化及自动化,所以可与机器人匹配,进行全自动化焊接操作。

当然,除了除了对焊接工具的学习还要对如何焊接车门,使焊接一扇车门的时间

最短,质量得到保证等系统的学习。

质量要能得到保证就必须做到以下几点。

1.焊接前对夹具的点检。对定位销、加紧装置、气管、夹面等进行点检。夹具的主要作用是:准确、可靠的定位和夹紧,减少制品的尺寸偏差,提高零件精

度和可换性;有效的防止焊接变形;使工件处于最贱焊接部位,焊缝的成型

性良好,工艺缺陷明显降低,焊接速度可以提高。即对夹具的点检会提前发

现不良因素,把不良扼杀在摇篮,焊接的质量得到保证。

2.焊接前对焊机的点检。对电极、水管、气管、压力、焊点等进行点检。焊机

作为焊接的主要工具,那么对它的点检将是对质量的点检。每扇车门的焊机

压力是不一样的,对压力的点检会保证在焊接时车门的变形;焊接不同位置,它对应的电极也是不一样的,电极的点检会保证焊点的正确,及减少蒙皮被

焊穿;水管的点检是点检焊机的散热效果,会减少焊机的损害。对以上的点

检保证质量的同时也提高了效率。

效率的提高,除了自身对焊接的熟练度外一个最重要的就是团队精神一个人的力

量是渺小的,即使对操作很熟练也不可能提高效率,提高效率需要一个团队共同

努力。在这个世界上,任何一个人的力量都是渺小的,只有融入团队,只有与团

队一起奋斗,你才实现个人价值的最大化,你才能成就自己的卓越!团队,是为

了实现一个共同的目标而集合起来的一个团体,需要的是心往一处想,劲往一处

使;需要的是分工协作,优势互补;需要的是团结友爱、关怀帮助;需要的是风

雨同舟,甘苦与共!一个想成为卓越的人,仅凭自己的孤军奋战,单打独斗,是

不可能成大气候的。你必须要融入团队,你必须要借助团队的力量。与团队和谐

相处的秘诀就是:尊重别人、关心别人、帮助别人、肯定别人、赞美别人、学习

别人、感恩别人!团队精神是在这里上的最重要的一课之一。

四 实习心得

在重庆长安江北焊接第二车间实习的这段时间里,我学到了很多,完善了自己的不足,达到了实习的预期目的。在这段实习期间,我不仅实际工作能力有所提高,更

重要的是通过对理论知识与实践经验相结合后,进一步激发了对专业知识的兴趣,并

能够结合生产实际,在专业领域内进行更深入的学习。实习促进了自身的发展,培养

了我们的环境适应能力及发现问题、分析问题、解决问题的实际工作能力,为今后的发展打下了良好的基础。

吕彪

汽车电子091

2009004717

第三篇:顶岗实习实践报告_1

顶岗实习实践报告

顶岗实习实践报告1

学以致用是我们学习的目标,因此我们就要把我们学好的学问运用到现实的生活中。突出专业力量,增加综合素养,实战就业过程,深化物流前线,了解物流在现代市场中的地位、作用、岗位职责及物流人员的基本素养,了解物流中的运输、装卸搬运、仓储、包装等流程,充分利用.实践探究市场经济对人才质量的要求,强化专业学问在市场中的应用,体会职场要求,从而提高自身适应市场进展改变的力量,提升个人核心竞争力。

一、公司简介:

××××电讯连锁有限公司是一家通讯连锁服务企业,注册资金人民币××××万元整。自成立以来,秉承“诚信为根本,服务为源泉,创新为动力”的经营宗旨,致力于与中国移动和通讯终端产品供应商建立稳固的战略合作伙伴关系,为消费者供应全程一站式服务,打造业内知名的“××”品牌,在××省乃至××地区开展个人移动通讯产品销售、修理、增值服务,以及相关运营商业务。目前公司已经进展为拥有××、××、××、××、××、××、××、×××家地市分公司,自有零售门店××家,其中店面总经营面积达×××××多平米,年手机毛利额××××余万元,手机年销量达××万台。售后方面拥有三星金牌修理站。

二、实践经受及过程

来到这个公司的第一天我们只是帮着打扫卫生,拿货,并且也对公司的基本状况做了初步的了解。接着,我进入了公司的仓储管理部,仓储部门主要进行仓储管理5S、入库、验收、捡货、出库、配送、盘点等实际操作。5S是指清洁、清扫、整理、整顿、个人素养,我在仓库实习的岗位是仓库操作工,详细从事过的操作有:卸车、收货、分货、入库、打印现品票并放置好现品票、清洁、清扫、移库、配货、初检、装车、送货。现场的实时操作都是和ACCS系统全都的,例如:现场货物实际入库之后,要马上做系统入库;现场移库之后也需要马上更改系统移库;系统打印出拣品单后应按挨次做好配货工作等。我目前所从事的工作是仓储流程中最基础的操作,盼望我能在今后的工作中学习到更多的仓储工作阅历。

我们每天会按时到班,需要卸货的时候大家都很卖力的干着,闲暇时帮着把办公室打扫洁净,间或老板也会和我们讲讲他的一些经受。

虽然只有短短2个月时间,但足以让我受益匪浅,收获丰富的实践阅历。虽然过程是艰苦的,搬运、卸货、装车,很累,但是这并没有阻挠我前行的脚步,更没有消沉我的意志,这反而恰恰是磨练我们意志的一个时机。

三、实践成果

通过此次实践让我对物流有了更深化的熟悉。物流中的“物”是物质资料世界中同时具备物质实体特点和可以进行物理性位移的那一部分物质资料。“流”是物理性运动,这种运动有其限定的含义,就是以地球为参照系,相对于地球而发生的物理性运动,称之为“位移“。流的范围可以是地理性的大范围,也可以是在同一地域、同一环境中的微观运动,小范围位移。“物”和“流”的组合,是一种建立在自然运动基础上的高级的运动形式。其相互联系是在经济目的和实物之间,在军事目的和实物之间,甚至在某种.目的和实物之间,查找运动的规律。因此,物流不仅是上述限定条件下的“物”和“流”的组合,而更重要在于,是限定于军事、经济、.条件下的组合,是从军事、经济、.角度来观看物的运输,达到某种军事、经济、.的要求。

信息时代的中国的物流市场正处于快速进展之中,这一进展的过程将对物流资讯业造成三大影响:其一物流市场越规范,物流业对物流资讯的需求就越大,二者关系将更加紧密。一个规范的物流市场将尽可能扼杀投机主义,即主力从事物流服务,而这无疑将扩大对物流资讯的需求。其二物流市场更加展,物流业对物流资讯的需求层次将越来越高。钢铁销售商没有了投机利润,就只能从两块加强力气,一是完善对消费者的服务手段,二是加大自身进展力度,从事正常报价决策。只有如此才能保证销售商的收入。而这两者都呼唤市场上强大物流资讯阵容的出现。其三在投机越来越少,越来越难的状况下,钢铁销售商将出现意识觉醒,也将极大增加对物流资讯的认同和依靠心理。所以说,物流业市场更加展,对担当着行

顶岗实习实践报告2

一.实践报告撰写的内容与要求:

一份完整的实践报告应由以下部分组成:

1.报告题目

报告题目应当用简短、明确的文字写成,通过标题把实践活动的内容、特点概括出来。题目字数要适当,一般不宜超过20个字。假如有些详情必需放进标题,为避开冗长,可以设副标题,把详情放在副标题里。

2.学院及名称

学院名称和姓名应在题目下方注明,学院名称应用全称。

3.

第四篇:会计顶岗实习实践报告

会计顶岗实习实践报告

一、实习情况概述

会计是一门注重实践的工作。因此,学校在我们最后一个学期安排我们进入实习岗位,了解会计的基础工作。我于20**年2月至20**年6月在XXXX进行了近四个月的顶岗实习。在此过程中,我学到了许多学校学不到的知识,对会计专业我有了更深刻的认识,对我以后的发展奠定了坚实的基础。现在对这几个月的实习情况进行总结。

二﹑实习单位简介

XXXX具有五十多年的历史,现已发展成为国家房屋建筑工程施工总承包壹级企业,并具有钢结构、建筑智能化、建筑装修装饰、消防设施、预应力等五项专业工程承包资质,以及国际外派劳务经营权和对外承包权。系集建筑安装、地基处理、高级装潢、道路桥梁、建筑设计、建设监理、房地产开发、国际工程承包、劳务输出等于一体的多功能、多元化经营的有限责任公司。公司下设十个土建分公司及预应力施工、设备安装、通风空调、道路桥梁、机械施工、自动化控制、防水、装潢等专业分公司。年施工能力达六亿元以上,年竣工面积达50万平方米以上,是省内建筑施工排头兵企业。XXXX一如既往地奉行“用智慧,雕塑时代精品”的质量方针,愿以最佳工期、最优质量,竭诚为用户服务。

三、实习过程

会计是个讲究经验的职业,工作经验是求职时的优势,为了积累更多的工作经验,经过学校和朋友的努力,我在XXXX获得了一次十分难得的实习机会。实习期间努力将自己在学校所学的理论知识向实践方面转化,尽量做到理论与实践相结合。在实习期间我认真遵守工作纪律,不迟到、早退,努力完成领导交办的工作,得到学习领导及全体工作人员的一致好评,同时也发现了自己的许多不足之处。

在实习中,我参与了整个4月全部的出纳工作,从审核原始凭证、编制记账凭证、登账到编制会计报表都有亲自动手。认真学习了正当而标准的会计流程,应前辈的教诲还认真学习了《公司法》、《税法》《会计法》,真正从课本中走到了现实中,从抽象的理论回到了多彩的实际生活,细致的了解了单位会计工作的全过程,认真学习了各类经济业务的会计处理方法,并掌握了公司财务软件的应用。实习期间,我利用此次难得的机会,努力工作,严格要求自己,虚心向财务科的前辈们请教,不论他们年龄大小,认真学习会计理论,学习会计法律、法规等知识,利用空余时间认真学习一些课本内容以外的相关知识,对实务会计有了一定的掌握和具体的了解,具体包括以下几点:

(一)、认识各种原始凭证

在校学习期间老师曾经给我们看过一些原始凭证的仿真版,到了单位以后我才知道,我才知道我们在学校里见的那些原始凭证只是凤毛麟角,还有太多太多的原始凭证我们不曾见过,不曾听过,不知道他们的用途。在这几个月的实习期间我首先要做的事就是认识原始凭证,这是所有会计工作的基础,没有这样的经验我们根本无法开展以后的工作,更别说是在公司里的发展了。所以我认真听取前辈对我的教导,了解公司使用各种原始凭证的填制方法和其经济含义,以后再见的时候就知道该如何处理了。这是我开始以后工作的基础,必须得打好,而且不能操之过急,慢慢学习,虚心请教,学习前辈的精华,以后为公司更好的服务。

(二)、根据经济业务填制和审核原始凭证和记账凭证。

1、原始凭证:是指直接记录经济业务、明确经济责任具有法律效力并作为记账原始依据的证明文件,其主要作用是证明经济业务的发生和完成的情况。填写原始凭证的内容为:原始凭证的名称、填制凭证的日期、编号、经济业务的基本内容(对经济业务的基本内容应从定性和定量两个方面给予说明,如购买商品的名称、数量、单价和金额等),填制单位。在进行签章的时候要认真审核原始凭证是否填制正确,保证原始凭证填写的内容与实际经济业务发生的情况相一致。这是会计凭证编制的基础。

2、记帐凭证:记帐凭证是登记帐薄的直接依据,在实行计算机处理帐务后,电子帐薄的准确和完整性完全依赖于记帐凭证,操作中根据无误的原始凭证填制记帐凭证。填制记帐凭证的内容:凭证类别、凭证编号、制单日期、科目内容等。记账凭证在财务软件上填制好以后要打印出来,把原始凭证附到记账凭证之后,保证原始凭证和记账凭证相一致。

(三)、根据会计凭证登记日记帐。

日记帐一般分为现金日记帐和银行存款日记帐;他们都由凭证文件生成的。计算机帐务处理中,日记帐由计算机自动登记,日记帐的主要作用是用于输出现金与银行存款日记帐供出纳员核对现金收支和结存使用。要输出现金日记帐和银行存款日记帐,要求系统初始化时,现金会计科目和银行存款会计科目必须选择“日记帐”标记,即表明该科目要登记日记帐。

(四)、根据记账凭证及所附的原始凭证登记明细帐。

明细分类帐薄亦称明细帐,它是根据明细分类帐户开设帐页进行明细分类登记的一种帐薄,输入记帐凭证后操作计算机则自动登记明细帐。

(五)、根据公司人员情况编制核对工资单

工资单的编制和核对是一项艰巨的任务,一点也不能马虎,一定要保证工资单上记录的内容与公司的实际情况相对应,在核对的过程中发现异常情况应该向领导积极反映,核实情况,这样才能保证会计信息的正确性。

(六)、根据公司实际经济业务情况向税务机关报税。

依法纳税是每个公民应尽的义务。

每月月初都得向税务机关报税,这在四个月的实习期间,公司的出纳教我如何向税务机关报税。首先得如实填写公司的经营收入情况,然后根据税法的规定计算出应该缴纳的税款。说是这么简单,其实,实际操作的时候并不简单,税款的计算必须的正确,而且必须的按照税法的规定进行计算,不能随意使用税率,少计收入,多记支出,这些都是违法行为,都是要受到法律的制裁。所以每个月报税交税的时候都得仔细认真,不能出任何的差错。

(七)、根据银行对账单编制银行存款余额调节表

每个月都要到银行取回银行对账单与单位的银行存款日记账进行核对,检查是否有未达账项,如果有,根据未达情况编制银行存款余额调节表,检查调整后的余额是否一致。如果调整后的余额不一致,应尽快与银行取得联系,查明原因,并及时做出处理,以保证银行存款的准确无误。

(八)、对帐

对帐是对帐薄数据进行核对,以检查记帐是否正确,以及帐薄是否平衡。它主要是通过核对总帐与明细帐、总帐与辅助帐数据来完成帐帐核对。试算平衡表就是将系统中设置的所有科目的期末余额按会计平衡公式借方余额=贷方余额进行平衡检验,并输出科目余额表及是否平衡信息。一般来说计算机记帐后,只要记帐凭证录入正确,计算机自动记帐后各种帐薄应该是正确的、平衡的,但由于非法操作,计算机病毒或其他原因有可能回造成某些数据被破坏,因此引起帐帐不符,为保证帐证相符,应经常进行对帐,每月至少一次,一般在月末结帐前进行。

在这个学习和联系的过程中。我发现会计是一门实务与理论结合性很强的学科,尽管我学过这门课,但是当我第一次和公司的同事操作具体业务时,觉得又和书上有些不同,实际工作中的事务是细而杂的,只有多加练习才能牢牢掌握。这次实习最主要的目的也是想看看我们所学的理论知识与公司实际操作的实务区别在哪里,相同的地方在哪里,内部控制如何执行,如何贯彻新的会计政策,新旧政策如何过渡,一些特殊的帐户如何会计处理等等。带着这些问题,我在这一个月里用眼睛看,不懂的请教领导同事,让我对会计这些问题有了一定的解答,达到了这次实习的目的。除了与我专业相关的知识外,我还看到许多在课堂上学不到的东西。公司是如何运做的,员工之间的团队合作精神,处理业务的过程,规章制度执行情况,企业的管理等等。另外我也看到公司存在的一些漏洞,例如内部控制情况,其中主要包括现金收支制度执行情况,公司在执行国家政策规定方面也不容乐观。然而在现实的大规模国营企业这种情况可能普遍存在。作为一名会计实务人员,通过这次实习也更加让我看清自己今后的努力方向。例如:实务能力,应变能力,心理素质,适应能力等等。除此之外拥有一颗上进心,进取心也是非常重要的。

在工作中仅靠我们课堂上学习到的知识远远不够,因此我们要在其他时间多给自己充电,在扎实本专业的基础上也要拓宽学习领域。同时在实际的工作中遇到问题时要多向他人请教。人际沟通也是非常重要的一点,会计实习报告如何与人打交道是一门艺术,也是一种本领,在今后的工作中也是不能忽视的。马上就要进入社会的我们也要面临正式的实习了,我想每一次的经历都是一种积累,而这种积累正是日后的财富。在工作上,在学习上,我们要让这些宝贵的财富发挥它的作用,从而达到事半功倍的效果。实习真的是一种经历,只有亲身体验才知其中滋味。

课本上学的知识都是最基本的知识,不管现实情况怎样变化,抓住了最基本的就可以以不变应万变。如今有不少学生实习时都觉得课堂上学的知识用不上,出现挫折感,但我觉得,要是没有书本知识作铺垫,又哪能应付这瞬息万变的社会呢?

(四)﹑实习感言

经过这次实习,虽然时间很短。可我学到的却是我三年大学中难以学习到的。就像如何与同事们相处,相信人际关系是现今不少大学生刚踏出社会遇到的一大难题,于是在实习时我便有意观察前辈们是如何和同事以及上级相处的,而自己也尽量虚心求教,不耻下问。要搞好人际关系并不仅仅限于本部门,还要跟别的部门例如市场部等其他部的同事相处好,那样工作起来的效率才会更高,人们所说的“和气生财”在我们的日常工作中也是不无道理的。而且在工作中常与前辈们聊聊天不仅可以放松一下神经,而且可以学到不少工作以外的事情,尽管许多情况我们不一定能遇到,可有所了解做到心中有数,也算是此次实习的目的了。

会计本来就是烦琐的工作。在实习期间,我曾觉得整天要对着那枯燥无味的账目和数字而心生烦闷、厌倦,以致于登账登得错漏百出。愈错愈烦,愈烦愈错,这只会导致“雪上加霜”。反之,只要你用心地做,反而会左右逢源。越做越觉乐趣,越做越起劲。梁启超说过:凡职业都具有趣味的,只要你肯干下去,趣味自然会发生。因此,做账切忌:粗心大意,马虎了事,心浮气躁。做任何事都一样,需要有恒心、细心和毅力,那才会到达成功的彼岸!

实习虽然结束了,再过半个月,我们真的就要走上工作岗位了,想想自己大学三年的生活,有许多让我回味的思绪,在这个春意盎然的季节,伴随着和煦的春风一起飞扬,飞向远方,去追逐我的梦

经过这次实习,让我学到了许多许多,无论是专业知识上,还是为人处世上,受益匪浅,感谢母校和XXXX提供了这次实习机会。

第五篇:电子信息综合实践实习报告

电子信息综合实践报告 8位运算器电路设计

北华大学电气信息工程学院

目 录

1、实习目的……………………………………………………2

2、运算器的国内外发展………………………………………3

3、FPGA的发展…………………………………………………3

4、运算器的设计原理…………………………………………7

5、运算器源程序………………………………………………13

6、结果分析……………………………………………………22

7、总结及心得体会……………………………………………22

一、实习目的

综合电子系统实习是电子信息类专业学生了 解电子系统设计实现过程,培养实践动手能力的实践性教学环节,是电子信息工程等理工科专业学生一门必修的实践性课程。通过学习和实践,可以让学生进一步接触电路板及电子产品的生产实际,了解电子工艺生产线的流程和基本管理知识,使学生通过设计一个课题,巩固和加深在’FPGA技术‚等课程中所学到的理论知识和实验技能,掌握常用电子电路中的一般分析和设计方法,熟悉 VHDL 和其他开发软件的使用方法,提高电子电路的分析、设计和实验能力,为以后从事生产和科研工作打下一定的基础,为今后专业实验,毕业设计准备必要的编程知识和操作技能。同时培养学生严谨的工作作风,养成良好的工作习惯,它是基本技能和知识的入门向导,又是创新实践和创新精神的启蒙。综合电子系统实习对训练我们基本操作技能,提高我们实际动手能力是难得的一次好机会。

二、运算器的国内外发展

(1)国外情况

在国外,电子计算器在集成电路发明后,只用短短几年时间就完成了技术飞跃,经过激烈的市场竞争,现在的计算器技术己经相当

成熟。计算器已慢慢地脱离原来的―辅助计算工具‖的功能定位,正向着多功能化、可编程化方向发展,在各个领域都得到了广泛的应用。用计算器不仅可以实现各种各样复杂的数学计算还可以用来编制、运行程序,甚至解方程组,图形计算器还可以进行图形处理。计算器内置的软件允许用户进行 类似于对计算机的文件和目录管理等操作,允许用户对图形界面进行定制,同时各种新技术也被应用到计算器里使计算器功能越来越强大。可以说,计算器就是一个―微微型‖的计算机。

(2)国内情况

国内也有厂商利用计算器芯片开发新的产品,但对计算器技术的研究、计算器芯片的设计还处于起步阶段。计算器的主要功能还是在于―计算‖,不妨称之为―低档计算器‖。即便是对这种计算器,很多厂商也只从事计算器的组装、销售业务。一些IC设计公司、芯片提供商也开始研究计算器技术基于FPGA的计算器设计。

三、FPGA的发展

随着EDA技术的发展,使用硬件语言设计PLD/FPGA成为一种趋势。目前最主要的硬件描述语言是VHDL和Verilog HDL。VHDL发展的较早,语法严格,而Verilog HDL是在C语言的基础上发展起来的一种硬件描述语言,语法较自由。VHDL和Verilog HDL两者相比,VHDL的书写规则比Verilog烦琐一些,但verilog自由的语法也容易让少数初学者出错。国外电子专业很多会在本科阶段教授VHDL,在研究生阶段教授verilog。从国内来看,VHDL的参考书很多,3 便于查找资料,而Verilog HDL的参考书相对较少,这给学习Verilog HDL带来一些困难。从EDA技术的发展上看,已出现用于CPLD/FPGA设计的硬件C语言编译软件,虽然还不成熟,应用极少,但它有可能会成为继VHDL和Verilog之后,设计大规模CPLD/FPGA的又一种手段。

FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输入输出模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有: ⅰ.采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。ⅱ.FPGA可做其它全定制或半定制ASIC电路的测试样片。ⅲ.FPGA内部有丰富的触发器和I/O引脚。ⅳ.FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。ⅴ.FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑

关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。与FPGA对应的还有DSP处理器,DSP处理器速度虽然很快,并对许多DSP应用来说很有用,但仍有一些应用要求性能再进一步提升,而FPGA提供了更高的性能。FPGA可以生成一个定制硬件设计,从而控制逻辑能够在硬件中实现,不必再利用精确的时钟周期来实现控制功能。此外,通过裁减硬件架构,FPGA可以提供额外的性能。如果最重要的设计考虑因素是速度,那么可以在FPGA中设计完全并行的算法处理方案。现在,许多系统已经包含了一个FPGA,用于协议转换、胶合逻辑或一些其它系统功能。如果那个FPGA没有被完全利用,那么把DSP功能加入其中可以为系统节约成本。而且如果标准发生改变,使用FPGA就不会有任何风险。FPGA的配置文件能够像软件那样升级,尽管它们必须被存储在系统的非易失性存储器中。目前的FPGA设计一般采用Top-down(自顶向下)的设计方法。先将系统划分为各个功能子模块,在系统级层次上进行行为描述,再对这些子模块进一步进行行为描述。(2)FPGA与CPLD的比较 FPGA是现场可编程逻辑门阵列的简称,是电子设计的一个里程碑。CPLD是复杂可编程逻辑器件的简称。尽管FPGA和CPLD都是可编程ASIC器件,有很多共同特点,但由于CPLD和FPGA结构上的差异,具有各自的特点ⅰ.CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑。换

句话说, FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。ⅱ.CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性 ⅲ.在编程上FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程,FPGA主要通过改变内部连线的布线来编程; FPGA可在逻辑门下编程,而CPLD是在逻辑块下编程。ⅳ.FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。ⅴ.CPLD比FPGA使用起来更方便。CPLD的编程采用E2PROM或FASTFLASH技术,无需外部存储器芯片,使用简单。而FPGA的编程信息需存放在外部存储器上,使用方法复杂 ⅵ.CPLD的速度比FPGA快,并且具有较大的时间可预测性。这是由于FPGA是门级编程,并且CLB之间采用分布式互联,而CPLD是逻辑块级编程,并且其逻辑块之间的互联是集总式的。ⅶ.在编程方式上,FPGA大部分是基于SRAM编程,编程信息在系统断电时丢失,每次上电时,需从器件外部将编程数据重新写入SRAM中。其优点是可以编程任意次,可在工作中快速编程,从而实现板级和系统级的动态配置。CPLD主要是基于EEPROM或FLASH存储器编程,编程次数可达1万次,优点是系统断电时编程信息也不丢失。CPLD又可分为在编程器上编程和在系统编程两类。ⅷ.一般情况下,CPLD的功耗要比FPGA大,且集成度越高越明显。随着FPGA门数以及性能的提高,可以将现在的许多数字电路部分下载到FPGA上,实现硬件的软件化,包括51核,DSP核以及其他的一些数字模块,到最后一个系统板子就剩下电源、6 模拟电路部分,接口部分以及一块FPGA。FPGA可以说是芯片级的PCB板,在一个芯片里设计原来的电子系统的所有数字电路部分。现在也有将ARM核嵌入到FPGA里面的,比如Altera公司的Nois核。Nois是一个软核,是有软件编写的一个32位处理器,并不是硬件上存在的处理核,该核工作频率为50HZ,现在用于许多图像处理以及其他的很多网络设备。利用锁相环技术可以将频率成倍提升,一般的ARM核是将锁相环做到芯片里面的,在变成的时候可以对某个 寄存器进行设置从而达到分频和倍频的目的。而将DSP核嵌入到FPGA里面去实现强大的计算功能是Altera公司近期推出的一系列芯片的一个优点。Altera公司的Stratix II系列芯片采用内嵌的DSP核,但是其DSP核的计算速度比现在业界上最快的DSP芯片还要快几个数量级。

四、运算器设计原理

(1)加法器设计

为了能够实现4位BCD码的加法运算,设计中被加数和加数都为16位二进制数,由于2个16位二进制数相加可能会产生进位,同时为了方便数码管显示,和采用了20位二进制。此外还有清零信号rst和加法使能信号add,当rst=‘1‘时对和进行清零,否则当add=‗1‘时进行加法运算。(2)减法器设计

为了实现4位BCD码的减法运算,设计中被减数和减数为16位二进制数,差为20位二进制数。输入的信号有清零信号rst、减法使能信号sub、被减数a、减数b,输出的信号有差cha和借位co。由于

两个数相减的差有可能为正数也有可能为负数,当差为负数时表现为补码的形式,必须要将负数的补码进行修正,修正时对差的低16位求补码运算,而差的正负用借位co来表示,co=‗1‘表示差为负,否则为正。

(3)乘法器设计乘法器设计的原理分析 乘法运算的方法可以通过以下实例来说明: 设被乘数A=1110,乘数B=1101,求A×B

由以上实际例子可以看出,16位二进制乘法运算可以使用移位相加的方法来实现,被乘数左移16次,乘数右移16次,当时钟上升沿到来时都对乘数的最低位进行判断,如果乘数的最低位为1则乘积加上已经移位的被乘数,否则加0。

乘法器电路结构框图根据乘法原理,采用移位相加的办法来实现乘法运算,如图。

(4)除法器设计

此次设计的16位二进制除法器采用的是比较相减的方法,先在被除数前添加16个0,当时钟脉冲上升沿到来时将前一次高16位与除数比较,若大于或等于则将被除数的高16位减去除数,同时左移并将被除数的第1位置1,若小于则只是将被除数左移,等到下一个脉冲到来时再循环此操作,直到第16个脉冲之后停止操作。这样进行16个脉冲周期之后,被除数的高16位为余数,低16位为商。除法运算的原理可以通过以下实例来说明: 设被除数A=1110,除数B=0110,求解A÷B的过程如下:

除法器电路结构框图

除法器电路由控制电路、比较电路、减法电路和移位电路组成。控

制电路负责产生16个脉冲的时钟信号、转载信号、运算结束信号;比较电路则是将被除数的高16位和除数进行比较,若大于或等于则great为‗1‘,否则为‗0‘;减法电路先判断比较电路输出的great是否为‗1‘,若为‗1‘则将被除数的高16位和除数相减,否则不进行运算只保存被除数的高16位;移位电路是将被除数进行左移,并对great进行判断,若为‗1‘则将被除数的第一位置1。

(二)键盘扫描电路设计

矩阵式键盘是一种常见的输入装置,在日常生活中,矩阵式键盘在计算机、电话、手机等各式电子产品上已经被广泛应用。我们用的是一个4×4矩阵式键盘,其中数字0~9作为各种运算的数字输入键,#为清零功能键,=为运算结果键,+、-、×、÷为运算的选择键。键盘上的每一个按键其实就是一个开关,每个键都有一个上拉电阻,该按键的接点会呈现低电平状态,反之,未按下时则呈现高电平状态。4x4矩阵键盘。

(三)扫描电路设计

对键盘采用0~15计数的方式,输入信号为键盘的列信号,对键盘进行逐行扫描。扫描键盘的编码方式如图7-2,当没有按下键盘时,键盘输入到按键检测电路col的值均为1,此时key=‗1‘;否则当使用者按下键盘按钮时,键盘检测到按键电路col的值为0,此时key=‗0‘。

(1)键盘扫描计数器电路

时钟信号clk频率为1KHz,按键(key_pressed)为使能信号,当未按下键盘时key_pressed=‗1‘,此时由0~15反复计数,并将计数值作为按键检测电路的输入信号,直到按下键key_pressed=‗0‘时计数器停止输出计数值。(2)按键检测电路

按键检测电路的输入信号为col和scan_cnt,输出信号为row和key_pressed。检测电路根据计数值scan_cnt判断row和key_pressed,scan_cnt的低2位用于判断扫描的列,scan_cnt的低2位’00‚、’01‚、’10‚、’11‚分别代表第一列、第二列、第三列、第四列;而scan_cnt的高2位’00‚、’01‚、’10‚、’11‚分别代表第一行、第二行、第三行、第四行。

由于键盘的按钮采用机械开关,当按下键盘按钮时,有可能会产生误导作,因此要使用键盘消抖动电路。此次设计的消抖动电路采用的是倒数计数器电路,将key_pressed作为计数器的输入端,当多次

检测到key_pressed=‗0‘才判定为按下键盘,否则被认定为没按下键盘

(3)键盘编码电路

(4)将键盘16个键的每个键进行编码,然后按照每个键的编码值译码成实际的数值。键盘编码表如图

(四)控制电路的原理

当按下键盘按键时,由键盘扫描电路译码出按下的键值,但是根据通常的运算顺序,先输入一个运算数据,然后输入运算功能键,再输入另一个运算数据,最后才是输入等于号显示运算结果,这就需要一个控制电路,用于控制当输入运算功能键时第一个运算数据输入停止;而输入运算功能键后开始输入第二个运算数据,当输入等于号码时停止输入第二个运算数据;当输入等于号时,开始显示运算结果。控制电路时序 控制电路关键是对于时序的控制,首先必须先设计一个控制模块用于确定键盘输入的键值是清零键、数据键、还是功能键,然后根据控制模块控制第一个和第二个运算数据输入的使能信号,当检测到数据输入使能信号有效时,必须对输入信号进行左移处理以便储存输入的数据。第一个和第二个数据输入的使能信号时序。

(五)BCD码转化为二进制电路设计

经过控制电路输出的两个运算数据是BCD码形式的,而此次设计的加、减、乘、除运算的数据形式都是二进制的,因此必须要将控制电路输出的两个运算数据转化为BCD码。二进制转化为BCD码的方法是将数据从最左边开始4位组成一组,16位数据可组成4组,然后

分别将第1、2、3、4组分别乘以1、10、100、1000后相加便可以得到相应BCD码的十进制表示,而计算机中的十进制数默认用二进制表示,再用修正电路将用二进制表示的十进制补0。

(六)运算系统顶层设计原理分析

运算系统的顶层设计需要将设计好的键盘扫描电路、控制电路、BCD码转二进制电路、加法电路、减法电路、乘法电路、除法电路、二进制转BCD码电路、显示译码电路、显示电路功能模块连接起来。首先由键盘扫描电路将按下的键进行译码,然后由控制电路输出两个运算数据,再由BCD码转二进制电路将二进制数据送到各运算电路,运算的结果由二进制转BCD码电路转化为数码管显示所需要的二进制数,最后使用译码电路将BCD码转化成数码管显示的信息。

五 运算器源程序

1、LED数码显示部分

module seg_driver(clk, rst_n, data_in, turn_off, sel, seg);input clk;input rst_n;input [23:0] data_in;input [5:0] turn_off;output reg [2:0] sel;output reg [7:0] seg;reg [4:0] seg_data;reg [3:0]count;

always@(posedge clk or negedge rst_n)begin

if(!rst_n)

sel <= 3'b000;else

if(sel<5)

sel<=sel+1;

else

sel<=3'b000;end always@(*)begin if(!rst_n)

seg<=8'hff;else

case(seg_data)

5'd0: seg<=`seg0;

5'd1: seg<=`seg1;

5'd2: seg<=`seg2;

5'd3: seg<=`seg3;

5'd4: seg<=`seg4;

5'd5: seg<=`seg5;

5'd6: seg<=`seg6;

5'd7: seg<=`seg7;

5'd8: seg<=`seg8;

5'd9: seg<=`seg9;

5'd10: seg<=`sega;

5'd11: seg<=`segb;

5'd12: seg<=`segc;

5'd13: seg<=`segd;

5'd14: seg<=`sege;

5'd15: seg<=`segf;

default: seg<=8'hff;

endcase end

endmodule 这部分主要是用于显示在输入输出过程中显示数字。2、4x4键盘码扫描部分

module jzjp(clk,rst_n,row,col,real_number,flag,opcode);input clk;input rst_n;input [3:0] row;

begin

if(!rst_n)

begin

number <= 4'b0000;

end

else

case({row,col})

8'b1110_1110:number=4'b0000;

8'b1110_1101:number=4'b0001;

8'b1110_1011:number=4'b0010;

8'b1110_0111:number=4'b0011;

8'b1101_1110:number=4'b0100;

8'b1101_1101:number=4'b0101;

8'b1101_1011:number=4'b0110;

8'b1101_0111:number=4'b0111;

8'b1011_1110:number=4'b1000;

8'b1011_1101:number=4'b1001;

8'b1011_1011:number=4'b1010;

8'b1011_0111:number=4'b1011;

8'b0111_1110:number=4'b1100;

8'b0111_1101:number=4'b1101;

8'b0111_1011:number=4'b1110;

8'b0111_0111:number=4'b1111;

default:number<=4'b0000;

endcase end endmodule 这部分主要是用于开发板上的矩阵键盘扫描。

3、算术逻辑运算单元部分

module conv(rst_n,flag,number,opcode,data_in,turn_off);input rst_n;input flag;input [3:0]number;input [3:0]opcode;output reg [23:0]data_in;output reg [5:0] turn_off;reg [23:0] temp1;reg [23:0] temp;reg [3:0]code1;reg [3:0]code2;always@(negedge flag or negedge rst_n)begin

if(!rst_n)

begin

temp1<=0;

4'hc:begin data_in<=data_temp(temp1*temp);end

4'hd:begin data_in<=data_temp(temp1/temp);end

default:;

endcase

code1<=0;

end

default: if(code2==4'hf)

begin

code2<=0;

data_in<={20'b00000,number};

end

else

data_in<={data_in[19:0],number};

endcase end begin temp<={20'b00000,data_in[3:0]}+{20'b00000,data_in[7:4]}*10+{20'b00000,data_in[11:8]}*100+{20'b00000,da

ta_in[15:12]}*1000+{20'b00000,data_in[19:16]}*10000+

if(data_in[15:12]!=4'h0)

if(data_in[7:4]!=4'h0)

turn_off<=6'b111100;else

turn_off<=6'b111110;endmodule 这部分是整个运算器的核心部分,承担的功能是运算;数制转换部分也在这个部分进行。

4、分频器

module freq(clk, rst_n, clk_slow);input clk;//50MHZ input rst_n;output reg clk_slow;// 1KHZ reg [31:0] count;always@(posedge clk or negedge rst_n)begin

if(!rst_n)

begin

count <= 0;

clk_slow <= 0;

end

else

begin

if(count < 25000-1)

count<=count+1;

else

begin

clk_slow <= ~clk_slow;

count <= 0;end end end endmodule 这部分是将时钟频率从50HZ分频为1HZ。

5、顶层电路

module top(clk,rst_n,row,col,sel,seg);input clk;input rst_n;input [3:0]row;output [3:0]col;output [2:0]sel;

output [7:0]seg;wire clk_slow;wire [3:0] number;wire [23:0]data_in;wire [3:0]opcode;wire [5:0]turn_off;wire flag;freq freq(.clk(clk),.rst_n(rst_n),.clk_slow(clk_slow));jzjp jzjp(.row(row),.col(col),.real_number(number),.clk(clk_slow),.rst_n(rst_n),.flag(flag),.opcode(opcode));conv conv(.opcode(opcode),.flag(flag),.number(number),.rst_n(rst_n),.data_in(data_in),.turn_off(turn_off));seg_driver seg_driver(.clk(clk_slow),.rst_n(rst_n),.data_in(data_in),.sel(sel),.seg(seg),.turn_off(turn_off));endmodule

这部分是将运算器各个部分进行连线。

六、结果分析

从实验结果来看,8位运算器基本完成了对输入数据的相加、减、乘、除等功能,从数码管上看,数字显示稳定。

七、总结及心得体会

首先,这次实习使我加深了对运算器的认识,相比而言串行加

法器是牺牲速度换取资源的器件,通过这次实验,对数码管显示和键盘扫描有了初步认识。其次,总体感觉这次实习的内容浅显,因而实验的效率主要由对仿真软件的熟悉程度决定,花在熟悉软件的时间占了很大部分。这次实验中比较成功之处在于对实验原理理解较为透彻,编程工作比较轻松。然而由于对软件的生疏以及编程语法以及纠错方法的不熟悉导致试验进度很慢,因此课下的主要提高在于对VHDL语言的熟悉以及对软件的熟悉。

下载顶岗实习综合实践报告word格式文档
下载顶岗实习综合实践报告.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    顶岗实习报告

    合肥共达职业技术学院 前言 顶岗实习报告是学生顶岗实习过程的全面总结,是反映学生实训成果、代表学生职业素养和职业能力的重要材料,是学生顶岗实习过程体会、收获的真实反映......

    顶岗实习报告

    顶岗实习报告 流水似箭,转眼间离开学校已有半年了。经过这半年来的实习,让我真真正正的体会到了“井底之蛙”的涵意。 在学校的时候,我们只是学习课本上的一些理论知识。那时感......

    (顶岗)实习报告格式:

    附件1: 广东轻工职业技术学院 顶 岗 实习报 告学 生 姓 名:学 号:年级、专业、班级:实习时 间:实习单 位:实习指导教师(学校): 实习指导教师(实习所在单位): 实习成 绩: 年 月......

    顶岗实习报告(汇编)

    顶岗实习报告 前言 随着社会的快速发展,当代社会对即将毕业的大学生的要求越来越高,对于即将毕业的我们而言,为了能更好的适应严峻的就业形势,毕业后能够尽快的融入社会,同时能够......

    顶岗实习报告

    长沙民政职业技术学院外语学院 毕业顶岗实习报告专业:涉外旅游(韩语)班级:旅韩1132班姓名:罗立扬指导老师:刘洋实习地点:中信银行信用卡中心中信银行信用卡部顶岗实习报告旅韩1132......

    顶岗实习报告111

    一:综述 1.实习单位基本情况 番禺珠江钢管有限公司成立于1993年,占地四十多万平方米,拥有“中国名牌”、“中国驰名商标”和“中国冶金产品实物质量金杯奖”三项国家级荣誉。番......

    顶岗实习报告

    中原工学院广播影视学院顶 岗 实习报 告系部:电视艺术系 专业: 班级:08制作2班 学号:20081311211 学生姓名:葛 亚 华 实习单位:河南电视台民生频道 实习单位导师:史 常 启 学院导......

    顶岗实习报告

    物流管理综合实训顶岗实习报告目录一、 实习目的及意义 .......... 1 二、 实习任务 ................ 1 三、 实习时间 ................ 1 四、 实习地点 .....................