北邮专业实习心得LTE(本站推荐)

时间:2019-05-14 19:50:20下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《北邮专业实习心得LTE(本站推荐)》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《北邮专业实习心得LTE(本站推荐)》。

第一篇:北邮专业实习心得LTE(本站推荐)

专业实习心得

为期四天,48个学时的专业实习做完了,之前以为很很高大上的专业实习,其实也不是那么难,应该说是只要认真听老师讲,做些笔记,跟着老师的步骤走,每个人都能出色的完成专业实习的任务。

第一节杨老师给我们讲了LTE无线产品相关的东西。给我们讲了许多通信行业相关的东西,无线产品的发展,各个运营商的优势劣势,及其发展情况,重点介绍了LTE基本原理和关键技术相关的知识。LTE系统引入了OFDM(正交频分复用)和MIMO(多输入多输出)等关键传输技术,显著增加了频谱效率和数据传输速率,并支持多种带宽分配:1.4MHz,3MHz,5MHz,10MHz,15MHz和20MHz等,且支持全球主流2G/3G频段和一些新增频段,因而频谱分配更加灵活,系统容量和覆盖也显著提升。LTE系统网络架构更加扁平化简单化,减少了网络节点和系统复杂度,从而减小了系统时延,也降低了网络部署和维护成本。LTE系统支持与其他3GPP系统互操作。LTE系统有两种制式:FDD-LTE和TDD-LTE,即频分双工LTE系统和时分双工LTE系统,二者技术的主要区别在于空中接口的物理层上(像帧结构、时分设计、同步等)。FDD-LTE系统空口上下行传输采用一对对称的频段接收和发送数据,而TDD-LTE系统上下行则使用相同的频段在不同的时隙上传输,相对于FDD双工方式,TDD有着较高的频谱利用率。LTE网络有能力提供300Mbit/s的下载速率和75 Mbit/s的上传速率。在E-UTRA环境下可借助QOS技术实现低于5ms的延迟。LTE可提供高速移动中的通信需求,支持多播和广播流。LTE频段扩展度好,支持1.4MHZ至20MHZ的时分多址和码分多址频段。全IP基础网络结构,也被称作核心分组网演进,将替代原先的GPRS核心分组网,可向原先较旧的网络如GSM、UMTS和CDMA2000提供语音数据的无缝切换。简化的基础网络结构可为运营商节约网路运营开支。举例来说,E-UTRA可以提供四倍于HSPA的网络容量。

eNodeB具有现3GPP Node B全部和RNC大部分功能,包括:物理层功能、MAC、RLC、PDCP功能、RRC功能、资源调度和无线资源管理、无线接入控制、移动性管理。eNodeB 硬件系统按照基带,射频分离的分布式基站的架构设计,分BBU,RRU两个功能模块。既可以射频模块拉远的方式部署,也可以将射频模块,基带部分放置在同一个机柜内组成宏基站的方式部署。BBU与RRU之间通过IR接口连接。BBU包括风扇模块、电源模块、时钟模块、外部接口模块、基带资源模块。

路老师主要给我们介绍了SDH相关知识。SDH是一个将复接、线路传输、交叉连接及交换功能融为一体的,并由统一的网管系统进行管理的综合业务传送网络。PDH是逐级复接,SDH

是一步到位;用SDH设备组网简单经济。PDH网络接口的电接口是标准的,光接口没有标准化;SDH的网络接口对光接口也制订了标准,使得不同厂家的设备在光纤上能够互通。SDH帧结构主要包括:信息净负荷、段开销、管理单元指针(AU-PTR)。各种信号装入SDH帧结构的净负荷区需经过三个步骤:映射、定位、复用。

实践方面,我们学习了ZXMP S386和ZXONM-E300网管系统。ZXMP S385是一款STM-16/STM-64多业务传送设备,充分考虑到网络业务的多样性和网络结构的变化,继承中兴通讯在SDH领域的技术专利和经验,并赋予SDH设备新的技术内涵。ZXMP S385集ADM、DXC、以太网交换机和ATM交换机功能于一身,提供大容量、长距离的业务传输。可以应用于各类传输干线的组建、扩容以及大容量城域业务调度,满足不同领域、不同层次的带宽需求,是建设宽带传送网的理想设备。

产品特点:优越的可扩展性:ZXMP S385作为2.5G系统可平滑升级到10G系统,同时提供更强大的2M/155M接入能力以及数据业务接入能力;强大的多业务接入、汇聚、调度能力:ZXMP S385具有大容量高低阶调度能力,提供最大240G的高阶交叉能力,40G的低阶交叉能力。作为10G系统时,设备最大可以支持9个10G二纤环或4个10G四纤环;作为2.5G系统时,设备最大可以支持36个2.5G二纤环或18个2.5G四纤环。设备业务槽位丰富,最多支持14个业务槽位,可提供多达176路ECC的处理能力,完全满足复杂组网的要求。设备支持高密度的10G、2.5G、155M和GE板,达到了业界一流的水平;高可靠性,多种手段保证业务安全:支持双总线、单板1+1热备份、支路板1:N保护、网络EPS保护、电源保护等;完全支持ITU-T建议的所有保护方式,同时能提供一种特色的网络保护理念——逻辑子网保护;高效的数据业务处理能力:ZXMP S385设备通过在传统SDH设备的基础上提供各类数据接口,如:EOS、RPR、ATM、SAN/DVB等;合理的设计,便于操作和维护:支持单板混插、光模块可插拔(SFP模块、LC接头),支持ALS功能,支持光口的在线光功率检测,便于快速定位线路问题,设备集成度高,减小占地费用;功耗低,降低用电费用。ZXONM E300光网络产品网元/子网层统一网管。它能够在保障传输设备硬件功能的基础上实现对系统的网元和区域网络的管理和控制,具有系统管理、配置管理、性能管理、故障管理、安全管理、维护管理功能。

此次实习虽然吃饭什么的不是很方便,加上学校安排在考试周,所以还是挺有压力的,不过老师给我们做了很好地引导,我们大家好都很好的完成了,这两个周末过得很开心,感谢老师,老师辛苦了。

第二篇:北邮 通信工程 实习心得

实习心得

首先我要感谢学校为我们安排这么一次实习,其次我也要感谢老师们这几天来的辛勤付出。这是一次独特的经历,在这次实习中我学到了很多。三年来我有时候总是很迷茫:我们学这些是干什么的?工作的时候用得上吗?通过这次实习,我对通信行业的工作有了一定的认识,我的一些疑惑得到了解答。我大概知道了我能在通信行业做的一些工作。

第一天是在教室里上课。主要讲TD-LTE。老师先是详细地向我们讲解了几代移动通信系统的演化流程,让我对三大运营商的网络制式有了全面的了解。从移动的GSM、TD-SCDMA、TD-LTE,联通的GSM、WCDMA、FDD-LTE,电信的CDMA、CDMA2000、TD/FDD-LTE混合制式,老师把演进路线讲得非常清晰。接着老师又向我们讲解了几代移动通信的系统结构,从2G的MS、BTS、BSC、MSC到3G的UE、NodeB、RNC到4G的UE、eNodeB,让我对通信系统的网络架构有了一定的认识。老师着重讲了4G的几个关键技术,包括OFDM和MIMO。我对4G有了更深的了解。

后面三天是在培训学校上的课。开始讲的是IPRAN。老师很风趣,上课时为了让我们打起精神,经常讲一些笑话。我们主要了解了IPRAN的基本原理,相对于SDH,IPRAN有什么优势。我们还进行了实验,配置了一些IPRAN的设备。第二位老师讲的是TD-SCDMA,他着重地讲了NodeB的接口和设备板卡的插放。我们还参观了一些设备。老师们都很认真负责,讲的很详细。

老师说他经常给运营商里的工程师们讲课,人生难料,说不定哪天我们又会再遇见呢。在这里好好地道一声感谢。

第三篇:北邮实习报告

篇一:北京邮电大学实习报告 北京邮电大学实习报告

附页:

专业实习总结及心得体会 总结:

大学三年的生活已经结束,在这个暑假学校安排我们进行了专业实习,但是我理解的实习并不是这样子的,我原来想象的是我们会做一些真正和以后工作紧密相关的实习,进入到真正的运营商或者设备商什么的,看看实际生活中我们这些通信业的准专业人士到底是怎么去工作。可是由于实际情况,我们被分配到学校的全程全网实习基地,在这里我们进行了为期8天的实习工作,同样我们也了解到了许多原来在书本上不曾知道的知识。

印象最深的是吴建伟老师给我们在最后一节课和所来的同学进行了深入的交流,大家就以后毕业找工作还是选择读研进行了讨论,老师说我们现在必须进行很好的自我剖析,对自己进行自我评价然后设定自己的职业规划,要根据自己的实际情况,不能盲目地去追随大流,老师问我们有没有谁在大学这三年将一件事一直坚持着,老师说他在大学期间每天坚持早起锻炼身体,告诉我们要去坚持做一件自己认为很有意义的事。另外老师在第一节课的时候就告诉我们四句话:树立职业意识、培养职业素质、积累职业经验、掌握职业技能,这四句话我们必须全方位深入的去理解,而不能像那么肤浅的草草了事,其中蕴含的道理是非常丰富的,是我们成为一个真正的职场人所必须具有的,同样也是我们在平时对待知识所应有的态度。一个人的职业生涯是个漫长的过程。很遗憾的是现今像我们这样的很多大学毕业生直到找到第一份工作为止,也没有很明确的职业生涯发展意识,更不用说做一份完整的职业生涯规划了。大学生对自己的发展规划并不明确,对于未来的规划与自己人生的发展方向都不明确,这种情况将导致找工作比较随意,目的性不强,对于平时的学习更是没有很好的目标。要找到满意的工作,决胜点在于长期的点滴积累,令人担忧的是许多大学生没有注重有计划的在生活中培养自己真正有发展有潜力的一面,因而大学生树立职业生涯规划意识非常必要。当我们树立了这样的职业生涯意识后,我们应该更好地培养职业素质,职业素质培养的重要性就是共识,也是企业对职场人的基本要求。企业的基本观点是学生素质要好,比如能吃苦耐劳、角色定位准确、善于与人协作与沟通、具备团队合作精神等,这些职业素质已成为企业招聘员工的首要指标,这当然并不能说明职业能力培养不重要,对职业素质的突出强调只是特定形势下的产物。学生在工作岗位就业后的职业生涯发展很大程度上还是要取决于其职业能力水平,但无疑职业素质是影响学生顺利就业和继续发展的关键因素。

对于积累职业经验和掌握职业技能这两方面是相互影响的。人在职场越久,经验性知识的积累会越来越多,相应的也就会掌握相关的技能,将知识作为一种能力来看待,这种能力是通过实践经验获取的。经验是促使这种知识生成的重要因素,要生成经验性知识必须有丰富的经验积累,而实习是搭建校园与现实社会之间的一座桥梁。通过实习,我们开始在社会这个大熔炉里去锻造自己。职业经验是一种无形的财富,它的价值远远超过了有形的财富,因此我们应该很好地去掌握它。在这8天的专业实习中,我接触到了以下几个方面的专业内容,相应的也有一些感悟。

程控交换机与计算机网络讲课及实验 移动通信讲课和实验

移动通信信道是一种多径衰落信道,老师讲解了移动台在不同基站间移动时进行的软硬切换,还有通过信号功率强弱来判断移动台的运动方向等原理,以及关于cdma2000系统结构与工作原理和移动通信技术的演进过程等。然后带我们实际参观cdma2000系统的机柜,介绍具体各部分功能。机柜顶上的9根小型天线,前面6根后面3根。机柜中各个板子在系统中所起的重要作用。然后刘老师讲解了一个管理软件,用于查看系统运行情况和各个参数,演示了系统开机时各部分模块启动的顺序。实际操作中,将两个被焊入固定频点的sim卡(此频点在本实验cdma2000系统建立起的通信网络频段内)装入两个cdma手机中,进行了实际的通话和信息的发送。通过软件监控到了信令交换这些信息同时进行了实际通话测试,我们发现这个自建的通话网络覆盖范围从教二5楼到2楼,覆盖范围还蛮广的。实验中我们发现通话和长短信,短短信所走的信道,信令都是不一样。长短信更类似于呼叫通话时的信令,实验中用的sim卡是特殊定制的,焊入了我们这个系统自己的频点。装入手机,要进行业务时,首先寻找这个cdma网络,找不到的话再找电信的电话网络。虽然我们对于这方面没有特别直观的认识,但是也让我们了解到了一些知识。光通信实验

此次实验老师讲解了关于光纤通信的知识,我们了解了华为 optix metro 500光网络交换机并主要学习了自愈网原理和结构。老师又给我们演示了软件中怎样查询一个光纤系统的各项参数,还有怎样建立一个光通信系统,对各个参数的设置,尤其是保护环的建立,通道保护和复用段保护的设置。然后用仪器检测通道是否成功建立,信号有没有传输过去,光纤通信系统是否成功建立起来。本次课本来还要介绍一套上位机软件的使用,很遗憾由于机器的故障没有实现。于是我们动手操作学习了光纤熔接的相关技术,那个熔接机确实很精细,能够将那么细的光纤能够很完美的熔接起来,技术上真的不简单,大家在这次课上动手操作的时间很长,让我们能够亲身体验熔接机的使用。电声演播数字电视

这节课是由学长给我们讲的,开始来的人非常少,但是大家之后都陆续到了,也都是很认真得听学长给我们介绍,讲解了电声演播及数字电视技术的历史与发展,本实验室的历史与发展,然后介绍了各种声学图象方面的老设备以及发展状况,包括留声机、录象机和老式的播放电影带的放映机,到当今的2.0、5.1音响,vcd,dvd等设备,讲解了图象编解码方面的各种知识。这个实验主要围绕最新的视频编码标准h.264进行的,这种编码标准相对比较复杂,学长简单的介绍了相关的原理,然后进行了一些实验。实验中,学长改变编解码器不同参数,如帧间隔、编码比特率、编码帧率等,让大家观察视频质量效果的变化,并分析原因。改变量化参数qp,通过观察,可知qp值越小,编码后文件大小越大,图像的效果越差。通过改变码率进行流提取,发现视频子层数减少,画面播放速率加快。丢包率的测试中,丢包率越小画面越清晰,但相同丢包率时,有记忆的丢包模式比无记忆丢包模式解码产生的画面更清晰。

声学实验中,实验室放着各种设备,其中有一套5.1声道环绕立体声音响放在实验室四周。我们坐在中间,老师播放不同性质的声音,让我们体会不同因素对声音效果的影响。在欣赏音乐的同时,通过老师的讲解也让我们明白了一些原理,在双声道立体声重放系统中,调整左右扬声器间信号的强度差,声音就会从两扬声器连线的中心点沿着这条连线向其中一只扬声器偏移,并定位在某一点上。

最后我们分组回去进行了声压计的实验,拿着那个声压仪到学校的不同地方测一下各种噪声,还是非常有意义的,让我们明白了也体会到学校周围噪声的分布。天线、射频讲课及实验

由于我这学期选了天线这门课再加上我们之前还做过天线方面的实验,所以对这个实验室有一定的了解,带我们的还是之前的陈文成老师。陈老师对待学生一直是以朋友的身份和我们相处,老师告诉我们要对自己的未来有一定的规划,他细心听取了大家对于自己将来的打算,然后以一个过来人的身份和我们讲述了他对于将来就业,机遇以及人生的一些看法,说现在做射频工程师还有网络美化工程师这两份职业都是以后很好的去处,老师也讲了他自己的工作历程。听了陈老师的话,让自己又不得不反思自己,想想自己的未来,首先我们要对自己对未来要充满信心,这是一切成功的首要因素,虽然老师给我们讲的天线方面的专业知识不是很多,但是老师和我们去探讨人生我觉得对于现在大三迷茫的我们是更有意义的。图像实验讲课

本节课主要讲解的是图像的mepg-2图像基本标准的介绍、各类视频接口、传输误码的描述、图像质量的主观描述。

图像实验我们做了通过对视频的编解码来比较先后的视觉效果以体会编解码对图像效果的影响来进一步掌握编解码的原理。随着vlsi、计算机和通信技术的迅猛发展,数字技术从未像今天这样深刻地影响着人们的日常生活,它以良好的可编辑性能,更高的数据传输可靠性、数据保密性等优点,迅速替代原有传统的模拟传输方式,成为人类社会信息载体的首选。而视频编码技术则是面对通信的视频信号处理中的一项核心技术,其目的就是针对给定的图像序列,在保证一定重构视频质量的前提下,使用尽可能少的比特数对其加以描述,以利于在给定的通信信道中进行传输。

由于承载了海量信息,图像和视频中通常包含大量的数据,但是数据并不完全等价于信息。视频数据具有多种相关性,除去相关性所造成的各种冗余,达到对原始视频信号的有效压缩,有空间冗余、时间冗余、心理视觉冗余、编码冗余。视频压缩由于人类视觉对对高频信号的不敏感,在编码时为提高压缩比去除部分高频信息而对主观视觉效果没有太大的影响,所以在图像中在边缘有模糊现象。视频接口中讲到了有vga、s端子、色差信号接口、av复合接口。还介绍了一些其他的视频接口:射频接口,dvi、hdmi、ieee 1394、bnc。学长还现场拿来一些接口向我们展示。看到vga接口还误以为是串口,经过学长指点学习到各个端口之间的区别。

微波实验讲课及实验

微波技术中老师先对实验室的中继站设备进行了讲解,实验室中有误码仪,作为信源先进行中频调制再进行载波调制通过放大器后继续传输,这节课之后老师要求同学对通信系统中的各部分内容进行讲解,通信过程中先进行信源编码,对信源数据进行压缩,信源编码之后课进行信道编码,信道编码对数据加上监督码元,加强信息的可靠性。最后进行调制,将数字序列化为数字信号,正余弦电磁场波进行传输。我是和张楠选的是眼图这节的讲解,我们在当天晚上重新看了通信原理书里面的眼图这节内容,重新温习了,但是在第二天早上讲的时候还是被大家问住了,于是我们一块讨论了相关问题,加深了对眼图的理解。我觉得这种方式很好,让大家能够很好的去交流讨论,老师在旁边也能给我们及时的分析解答问题。

最后我想说的是非常感谢带我们的实习老师还有学长,他们通过这几天不仅让我们学到了专业知识,更让我们了解到以后我们应该怎样对待学习生活工作,我觉得这是很宝贵的。篇二:北邮专业实习报告 协力超越 北京邮电大学实习报告实习总结

在经过了又一个学期的紧张学习之后,我们迎来了暑期专业实习,此次专业实习是我们本科学习期间首次校外实习,目的是让我们学习和认识现代移动通信技术,有线网络和无线通信网络的相关专业知识,并结合实际实践加深对理论的理解。虽然在曾经的学习中我们学过了通信网络等关于通信方面的理论知识,但是缺乏了相应的实践经验。通过了这几天的专业实习,我对通信网络的相关知识有了新的认识,对电子信息行业新技术发展的现状和趋势有了进一步的认识,并且亲身体会通信在国民经济发展中所处的地位和作用,加深对电信企业组织和管理知识,巩固所学理论,培养初步的实际工作能力和专业技术能力,增强我们电子信息方面的学业背景和对专业的热爱。基于这种专业实习精神,我很认真对待这次专业实习,希望能够从中学到知识与技能。第一天和第二天,我们主要的实习内容是数据产品及有线网络,给我们代课的是刘立宾老师。老师先给我们介绍了一下关于通信网络的构架的知识,从网络体系架构的划分说起,从局域网、城域网、广域网三个方面划分了网络的互联范围,接下来是osi七层结构,osi是开放式系统互联参考模型。是一个逻辑上的定义,一个规范,它把网络协议从逻辑上分为了7层。每一层都有相关、相对应的物理设备,比如常规的路由器是三层交换设备,常规的交换机是二层交换设备。osi七层模型是一种框架性的设计方法,建立七层模型的主要目的是为解决异种网络互连时所遇到的兼容性问题,其最主要的功能就是帮助不同类型的主机实现数据传输。它的最大优点是将服务、接口和协议这三个概念明确地区分开来,通过七个层次化的结构模型使不同的系统不同的网络之间实现可靠的通讯。接下来老师着重讲解了路由器原理和交换机原理。交换机(switch)是一种基于mac(网卡的硬件地址)识别,能完成封装转发数据包功能的网络设备。交换机可以“学习”mac地址,并把其存放在内部地址表中,通过在数据帧的始发者和目标接收者之间建立临时的交换路径,使数据帧直接由源地址到达目的地址。现在的交换机分为:二层交换机,三层交换机或是更高层的交换机。三层交换机同样可以有路由的功能,而且比低端路由器的转发速率更快。它的主要特点是:一次路由,多次转发。路由器(router)是在网络层实现互连的设备。它比网桥更加复杂,也具有更大的灵活性。路由器有更强的异种网互连能力,连接对象包括局域网和广域网。过去路由器多用于广域网,近年来,由于路由器性能有了很大提高,价格下降到与网桥接近,因此在局域网互连中也越来越多地使用路由器。路由器是一种连接多个网络或网段的网络设备,它能将不同网络或网段之间的数据信息进行“翻译”,以使它们能够相互“读”懂对方的数据,从而构成一个更大的网络。路由器有两大典型功能,即数据通道功能和控制功能。数据通道功能包括转发决定、背板转发以及输出链路调度等,一般由特定的硬件来完成;控制功能一般用软件来实现,包括与相邻路由器之间的信息交换、系统配置、系统管理等。

介绍了路由器和交换机的原理之后,老师给我们讲解了有线网络的基本架构。老师以校园网为例为我们解释了从终端设备连接到服务器的拓扑架构。第二天的主要任务是对于第一天的拓扑结构用实验的方法来亲自动手连接和配置。上午的实验是实际操作实验,要求我们用两台三层交换机设备实现四台计算机的互联,通过实际连线搭接和参数配置,我们进一步体会了数据网络的架构和特性。下午的实验是通过计算机仿真的办法,在应用软件上模拟校园网络的构建,使用服务器、交换机、路由器、计算机终端等设备根据网络架构连接并调试相关参数使得一个小型的模拟局域网成功建立。通过实验仿真我们进一步了解了校园网构建的具体步骤和局域网的相关知识,让我们进一步体会到了有线网络的构架。

专业实习的后两天,我们围绕wcdma无线网络技术展开现代无线通信相关技术的学习,这两天给我们代课的是王云飞老师。首先老师给我们介绍了移动通信的发展历程。第一代移动通信技术(1g)可以追溯到“大哥大”时代,是指最初的模拟、仅限语音的蜂窝电话标准,制定于上世纪80年代。它是以模拟技术为基础的蜂窝无线电话系统。1g无线系统在设计上只能传输语音流量,并受到网络容量的限制。接下来是第二代移动通信技术(2g),一般定义为无法直接传送如电子邮件、软件等信息;只具有通话、和一些如时间日期等传送的移动通信技术。手机短信sms(short message service)在2g的某些规格中能够被执行。现在正广泛应用阶段的是3g,第三代移动通信技术,是指支持高速数据传输的蜂窝移动通讯技术。3g服务能够同时传送声音(通话)及数据信息(电子邮件、即时通信等)。接下来老师重点介绍了wcdma技术。wcdma主要起源于欧洲和日本的早期第三代无线研究活动,在第三代移动通信规范提案的概念评估过程中,宽带码分多址(wcdma)技术以其自身的技术优势成为3g的主流技术之一。wcdma的优势在于,码片速率高,有效地利用了频率选择性分集和空间的接收和发射分集,可以解决多径问题和衰落问题,采用turbo信道编解码,提供较高的数据传输速率,fdd制式能够提供广域的全覆盖,下行基站区分采用独有的小区搜索方法,无需基站间严格同步。采用连续导频技术,能够支持高速移动终端。相比第二代的移动通信制式,wcdma具有:更大的系统容量、更优的话音质量、更高的频谱效率、更快的数据速率、更强的抗衰落能力、更好的抗多径性、能够应用于高达500km/h的移动终端的技术优势,而且能够从gsm系统进行平滑过渡,保证运营商的投资,为3g运营提供了良好的技术基础。wcdma主要的技术特点如下: 1.基站同步方式:支持异步和同步的基站运行方式,灵活组网; 2.信号带宽:5mhz;码片速率:3.84mcps; 3.发射分集方式:tstd(时间切换发射分集)、sttd(时空编码发射分集)、fbtd(反馈发射分集);

4.信道编码:卷积码和turbo码,支持2m速率的数据业务; 5.调制方式:上行:bpsk;下行:qpsk;

6.功率控制:上下行闭环功率控制,外环功率控制; 7.解调方式:导频辅助的相干解调; 8.语音编码:amr,与gsm兼容;

9.核心网络基于gsm/gprs网络的演进,并保持与gsm/gprs网络的兼容性; 10.map技术和gprs隧道技术是wcdma体制的移动性管理机制的核心,保持与gprs网络的兼容性;

11.支持软切换和更软切换;

12.基站无需严格同步,组网方便。

最后,老师给我们介绍了现用zxsdr系列基站的结构和工作原理。基站即公用移动通信基站是无线电台站的一种形式,是指在一定的无线电覆盖区中,通过移动通信交换中心,与移动电话终端之间进行信息传递的无线电收发信电台。基站收发台可看作一个无线调制解调器,负责移动信号的接收、发送处理。一般情况下在某个区域内,多个子基站和收发台相互组成一个蜂窝状的网络,通过控制收发台与收发台之间的信号相互传送和接收来达到移动通信信号的传送,这个范围内的地区也就是我们常说的网络覆盖面。基站收发台在基站控制器的控制下,完成基站的控制与无线信道之间的转换,实现手机通信信号的收发与移动平台之间通过空中无线传输及相关的控制功能。在介绍了基站的基本概念和工作原理之后,最后一天老师带我们参观了实验基地的实验室,并且指导我们在计算机上模拟组建一台基站,完成相应设备配置,加深了我们对基站构造和各方面互联的理解。

这次为期四天的专业实习虽然短暂,但是给我们带来了很大的收获。这次实习加深了我们对理论知识的理解,对有线通信和无线通信技术和原理有了更为深刻的认识,同时通过实验更加强化了我们的理解与运用技能,这将对我们将来步入通信领域的工作奠定了初步的基石。通过四天的学习,我们对通信行业有了一个更为直观而形象的认识,让我们对于通信不在只是局限于那本课本上,而是扩展到实际,让我们消除了许多对于通信行业的误解,为以后的学习和工作打下了良好的基础。同时各位专业教师在教学中展现的过硬专业技能和优秀的教学素养给我们留下了深刻的印象,他们认真负责的形象深深的折服了我们每一位学员,也激励着我们在今后的学习道路上更加奋勇前进。篇三:北京邮电大学实习报告 北京邮电大学实习报告实习总结 2011年7月7日至2011年7月9日,我们在中兴协力超越实习基地进行了为期三天的实习,实习内容主要包括wcdma网络优化设计和wcdma硬件调测,最后一天进行了实际的路测。三天以来,我们掌握了和通信基站相关的知识,学到了很多工程相关的实践操作和理论知识,让我们开阔了眼界,增长了知识,有很大的收获。

专业实习的第一天,我们学习了由费兴广老师讲授的wcdma网络优化设计课程,其中包括网络规划与优化的重要性、wcdma网络规划任务与基本流程、wcdma网络优化任务与基本流程三部分。在网络规划与优化的重要性中,老师先介绍了无线通信技术和wcdma的发展历史,然后分别逐一介绍了在目前的网络建设中存在的很多问题:自干扰、功率攀升、覆盖差、容量瓶颈的来源、现象、特点和解决方法。紧接着便讲了wcdma无线网络规划,包括其任务及目标、网络规划流程和网络规划与优化理念,即精品网络源自需求,始于规划,重在过程,成于优化。然后讲到了篇幅最大的wcdma无线网络优化,具体讲到了无线网络优化的原因,从网络质量角度和运营商角度分别介绍了无线网络优化的目标,在这之后又分别介绍了网络工程优化和网络运维优化的具体流程等内容。

其中,老师重点介绍了wcdma优化的流程问题,包括五个过程:

1、需求分析:包括:了解覆盖和容量的需求信息;确认优化测试参数设置;确认与客户的分工界面;确认各项目验收标准;制定工作计划;资料调查和收集;收集网络规划阶段的所有报告;获取现有网络站点信息、天馈信息、系统参数设置等;了解现有网络中存在的问题。

2、优化工具的准备:包括:路测工具是网络优化测试的基本工具。主要包括:路测软件、测试手机、接收机、gps等。有些路测设备还需要双串口卡。可能还需要用信令分析仪针对问题进行信令跟踪和定位。如果需要作干扰测试等,可能还需要频谱仪等设备。

3、数据采集:优化数据来源;路测数据(dt);拨打测试数据(cqt);omc性能统计数据;用户申诉信息;告警信息;其它数据。

4、数据分析:omc性能统计数据分析可得到无线网络一般性能指标gpi和关键性能指标kpi,这些指标都是评估网络性能的重要参考。对omc性能统计数据进行分析,可以在后台直接定位问题发生的区域范围,有助于问题的精确定位。体现资源利用情况的指标包括:最坏小区比例、超忙小区比例、超闲小区比例、小区码资源可用率。从omc后台提取的指标还包括其它反映网络运行质量的指标:接入成功率、接通率、掉话率、呼叫时延。体现系统切换性能的指标(切换成功率)具体包括:更软切换成功率、软切换成功率、跨iur口软切换成功率、硬切换成功率、系统间切换成功率。

5、优化方案制定:网络优化的调整策略主要包括:调整网络无线参数;包

括调整接入参数、寻呼参数、功控参数、切换参数、搜索参数等。调整系统邻区列表;通过对路测数据的分析优化网络的邻区列表。可能采取的措施包括:小区分裂;增加基站、微蜂窝、射频拉远等;使用多载频。专业实习第二天,我们学习了由齐海领老师讲授的wcdma硬件调测课程。首先老师向我们介绍了无线通信的基础知识,包括移动通信的基础知识、双工技术、多址技术、无线传输技术等。接下来我们学习了3g频谱规划的相关内容,具体包括imt-2000的频谱分配、中国3g频谱分配、中国的3g频率规划、3g的标准化历程、3g的三种主要技术wcdma、td-scdma、cdma2000及其比较。随后老师有介绍了扩频通信原理的相关内容,具体包括扩频通信的理论基础、收发信机数据处理过程、信道编码及其原理、交织技术、信道编码和交织技术的使用。这部分的知识由于我们在校内的通信原理课程上已经有所学习,所以接受起来感 觉比较容易,老师主要讲了直接扩频通信的扩频和解扩,具体的数据处理过程。除此之外,老师还向我们介绍了wcdma硬件设备 zxsdr-bs8800系列室内型双模宏基站,zxsdr bs8800由基带单元bbu和射频单元rsu共同组成:基带-射频接口支持cpri协议,通过光纤可以与rru相连作为bs8800的远端射频模块应用,bs8800的典型配置为1个bbu加上3或6个rsu,单机柜最大支持2个bbu和6个rsu,bs8800还可以在上部配置一个射频柜,以支持12个rsu或9个rsu+3个合路器。随后我们分为两组轮流参观了中兴通讯提供的zxsdr-bs8800系列室内型双模宏基站,主要学习了基站中的node b 和rnc。

实习第三天,我们主要进行了路测,它属于网络优化流程中的数据采集过程,路测准备过程包括:检查频率和 扰码是否和规划数据一致;lac/rac是否和规划数据一致;小区选择和重选参数的设置;邻区列表是否与规划数据一致;注意事项包括:车速保持30km/h~40km/h;检查 scanner 接收的 cpich rscp和cpich ec/io 是否异常;检查是否存在功放异常,天馈连接异常,天线安装位置不合理,周围环境发生变化导致建筑物阻挡,硬件安装时天线下倾角/方位角与规划不一致等问题。总结这三天来的实习,我感觉收获颇多。

首先,加深了我们对理论知识的理解。我们对无线通信的原理和过程有了整体上的理性的认识,从更加实用的角度对其进行了了解,这也对我们将来步入社会和单位能尽快熟悉业务奠定了基础。

其次,对于硬件设备的讲解与参观以及利用软件进行了网络基站的配置,让我们在理论提高的基础上增加了实践,通过对基站扇形区域的配置,对学习过的网络优化的内容和基站等硬件结构的拓扑结构和作用有了综合直观的感受,同时对网络优化有了基本的掌握,对通信设备的配置和优化有了更深的认识。最后,我认为在三天的实习过程中,最大的收获是让我们对通信行业有了一个更为直观而形象的认识,让我们对于通信不在只是局限于那本课本上,而是扩展到实际,让我们消除了许多对于通信行业的误解,为以后的应用打下了良好的基础,最后感谢老师在这三天以来的细节的讲解以及耐心的回答以及同学们的陪伴与帮助,谢谢。

第四篇:北邮 机械 专业 实习报告

题目:机械工程专业实习报告

姓名:何钦__

学院:____自动化_________ 专业:___机械工程_______ 班级:___2014211403____ _ 学号:2014211752

2017年9月10日

一、实习目的:

在实习过程中,以培养学生观察问题、解决问题和向生产实际学习的能力和方法为根本目标。使学生能够通过实习更好地认识与了解专业知识,并拓展实际的知识面,在专业知识和人才素质两方面得到锻炼,培养我们的团结合作精神,从而为接下来的毕业设计以及毕业后走向研究生阶段的学习和工作岗位尽快适应工作要求打下良好基础。

二、实习概况:

为更多了解各类机械加工设备及自动化生产线在实际生产中的应用,同时加深各类传感器和自动控制系统在工业各领域应用的直接认识,我校组织了为期4天的实地生产参观实习。

实习期间,我们先后参观了北京现代二厂、蒙牛集团、布莱德公司、亨通斯博,接下来就各个地点分别进行总结。

三、实习内容

1、北京现代二厂:

9月4日,在1个多小时的车程后我们到达了北京现代汽车制造厂,虽然日常生活中随时随地都可以接触到各个类型的车,但却从未真正见过整车详细的构造及配件,更何况是要真正看到一辆整车的生产流程。接待我们的是现代厂公关部的女接待员。在现代厂气派的接待室里,接待员向我们详细的介绍了参观的行程、路线、参观时间后,首先为我们安排的是8分钟的北京现代发展记录片,整个记录短片虽然时间不长,但却让我们深切感受到了北京现代的发展历程、所取得的各项傲人成绩以及能够在激烈的汽车市场竞争当中立有一席之地的艰辛。当短片结束时,心里对北京现代的了解增加了一些,同时也更加期待对整个现代流水线的参观了。

在现代车厂的生产车间内,映入眼帘的便是各类工业机器人,这样的自动化生产方式,不但能够提高整个生产过程的产量和品质,同时也能够减少整个过程中的误差产生以及减小人的危险性;而在必须由人工来从事的生产工段上,现代厂对人员的分配更是有着严格的要求,每位员工都会根据各种自身条件来合理的分配到不同工段,特别是在看到现代人那种环环相扣的每一操作过程精确要由秒来计算时间和对每一台车辆组装过程中的仔细程度,让我更加深切的感受到了现代人对经过自己手下生产出的每一辆车所投入的大量心血和精力。通过讲解员一路上的的讲解,我也了解到了现代的每一款车,经过一系列生产线的严格生产最终成为市面上所见到的整车车型后,才只是一部分的生产流程,之后的各项精确检验才是车辆能否出厂销售的关键,整个检验不单单由生产线的最后一道质检工序负责,还需要由销售部门的专业质检组来进行一系列的精确检验才能够最终确定车辆是否能够投放市场销售,由此可见现代人高速发展模式并不意味着以牺牲产品质量、信誉和服务为代价的“假、大、空”式的大跃进,在采购、生产、检验等环节上严把质量关口,全力塑造能经得起消费者检验和值得信赖、有长远生命力的品牌。

2、蒙牛集团:

我们首先进入的是一个大厅,对蒙牛厂区有了一个初步全方位的鸟瞰,讲解员向大家清晰地介绍了蒙牛的发展历史、主要产品和最新产品成果,使大家对蒙牛品牌有了初步的了解。随后讲解员介绍了该公司的办公区,所有的生产车间全部是透明玻璃,透过玻璃可以清楚看到所有的生产线有条不紊的工作,员工们熟练有序的劳动,整洁舒适的工作环境,让大家赞口不绝;在化验区,蒙牛的每一次生产都要经过化验,符合国家标准,同时让人们喝起来更健康,在源奶运送区,每一罐源奶都要用指定的运输车从奶源地运送回来,在指定的时间内运达,经过化验确定符合标准然后进行消毒杀菌后,进入生产线进行乳产品生产;生产包装车间里每一个生产线几乎都是自动化,不仅工作效率大大提高,而且避免了细菌的侵入从而更加安全;液态奶生产包装车间都是消毒大罐,足以可见公司对食品安全的重视;在储藏车间,一排排的图书馆书架式的自动化储藏,机器人有序的工作,让大家看到了庞大但运转有序的智能化乳品生产、运输和仓储系统。而在行政办公区,员工们仔细地监控着每一个生产步骤,全智能化的中央控制室相当于人的大脑,一丝不苟地操控并监视着乳制品生产的各个环节。这些都让大家了解到了蒙牛的食品安全保障、牛奶的制作过程、包装和运输程序,看到了机械化生产线和智能化的生产方式,感受到科学高效的经营机制以及机械化科技带给人们更便捷的工作和生活。

3、布莱德公司:

布莱德公司是我校校园内的一个迷你公司,更准确的说是林中教授的实验室,主要涉及光机电一体化方面的研究。讲解的老师首先通过一个短片给我们介绍了我国的“天眼”——500米口径球面射电望远镜的结构及原理,并介绍分析了其中的重头戏也是我校林中教授团队在该项目中的主要贡献——动光缆的研制设计。由于工作需要,动光缆需要承受大量的弯曲和摇摆,所以不仅通过可靠性试验,也要经过不断的理论分析。据悉,最终选用的光缆超过了国家标准很多倍,高的质量换来的不仅是仪器的稳定,也为国家省下了大笔资金。当FAST项目完成之时,正意味着我国相关在这个领域所达到的高度已经遥遥领先于其他国家。

介绍完之后,老师便带领我们参观了有关光缆的一些生产及检测的设备,同时介绍了有关光纤的一些基础知识,是我们对该光通信有了进一步的认识。

4、亨通斯博:

北京亨通斯博通讯科技有限公司,是亨通集团在北京的生产基地,公司创建于2002年。亨通集团创建于1991年,目前已经发展成为以线缆研发制造为主,集热能、房地产、金融证券等投资产业于一体的综合企业集团,拥有全资及控股公司十六家,其中有两家分别在国内及境外上市,主业线缆产品有光纤,光缆,通信光缆,同轴电缆,电力电缆,起车电线以及各种特种电缆。亨通跻身中国企业五百强,中国制造业500强,数十年进入中国通信企业500强,中国电子元件百强,全国电子信息百强,被国际权威机构评为中国一百最佳雇主,是国家级重点高新企业。

参观期间,通过厂外的小展厅让我们对光缆的结构、样式以及发展历史都有了较为系统的了解,但是进入生产车间后,由于其内部的气味让人难以忍受,以至于讲解员说的我一句也没听进去,一直在憋气,参观体验极差。

四、心得体会 此次对几家企业的参观,对我个人来说可谓受益匪浅,特别是在校内布莱德公司的各位老师们的专业讲解,以及面对我们参观中所提出的各种问题的专业解答,让我看到了我们北邮人的力量以及在国家重大项目中的卓越贡献。同时对于其他几处的参观,我也从中看到了我国国内工业生产水平的两极分化,例如与现代和蒙牛这两个大企业相比,亨通和布莱德的生产环境和生产设备就明显差很多。

再次感谢学校给我们这样一个机会,去更深入的了解各个行业生产线上的实际情况。投之以桃,报之以李,我将以自己最饱满的状态,去完成自己的工作学习,为校争光,为祖国的社会主义现代化事业献出自己的一份力量。

第五篇:北邮电子院专业实验报告

电子工程学院

ASIC专业实验报告

班级: 姓名:

学号: 班内序号:

第一部分 语言级仿真

LAB 1:简单的组合逻辑设计一、二、实验目的 实验原理 掌握基本组合逻辑电路的实现方法。

本实验中描述的是一个可综合的二选一开关,它的功能是当sel = 0时,给出out = a,否则给出结果out = b。在Verilog HDL中,描述组合逻辑时常使用assign结构。equal=(a==b)?1:0是一种在组合逻辑实现分支判断时常用的格式。parameter定义的size参数决定位宽。测试模块用于检测模块设计的是否正确,它给出模块的输入信号,观察模块的内部信号和输出信号。

三、源代码

mux.v module scale_mux(out,sel,b,a);parameter size=1;output[size-1:0] out;input[size-1:0]b,a;input sel;assign out =(!sel)?a:

(sel)?b:

{size{1'bx}};endmodule

mux_test.v `define width 8 `timescale 1 ns/1 ns module mux_test;

reg[`width:1]a,b;

wire[`width:1]out;

reg sel;

scale_mux#(`width)m1(.out(out),.sel(sel),.b(b),.a(a));

initial

begin

$monitor($stime,“sel=%b a=%b b=%b out=%b”,sel,a,b,out);

$dumpvars(2,mux_test);

sel=0;b={`width{1'b0}};a={`width{1'b1}};

#5sel=0;b={`width{1'b1}};a={`width{1'b0}};

#5sel=1;b={`width{1'b0}};a={`width{1'b1}};

#5sel=1;b={`width{1'b1}};a={`width{1'b0}};

#5 $finish;

end endmodule

四、仿真结果与波形

LAB 2:简单时序逻辑电路的设计一、二、实验目的 实验原理 掌握基本时序逻辑电路的实现。

在Verilog HDL中,相对于组合逻辑电路,时序逻辑电路也有规定的表述方式。在可综合的Verilog HDL模型中,我们常使用always块和@(posedge clk)或@(negedge clk)的结构来表述时序逻辑。

在always块中,被赋值的信号都必须定义为reg型,这是由时序逻辑电路的特点所决定的对于reg型数据,如果未对它进行赋值,仿真工具会认为它是不定态。为了正确地观察到仿真结果,在可综合的模块中我们通常定义一个复位信号rst-,当它为低电平时对电路中的寄存器进行复位。

三、源代码

counter.v `timescale 1 ns/100 ps module counter(cnt,clk,data,rst_,load);output[4:0]cnt;input [4:0]data;input

clk;input

rst_;input

load;reg

[4:0]cnt;

always@(posedge clk or negedge rst_)

if(!rst_)

#1.2 cnt<=0;

else

if(load)

cnt<=#3 data;

else

cnt<=#4 cnt + 1;

endmodule

counter_test.v `timescale 1 ns/1 ns module counter_test;

wire[4:0]cnt;

reg [4:0]data;

reg

rst_;

reg

load;

reg

clk;

counter c1

(.cnt(cnt),.clk(clk),.data(data),.rst_(rst_),.load(load));

initial begin

clk=0;

forever begin

#10 clk=1'b1;

#10 clk=1'b0;

end

end

initial begin

$timeformat(-9,1,“ns”,9);

$monitor(“time=%t,data=%h,clk=%b,rst_=%b,load=%b,cnt=%b”,$stime,data,clk,rst_,load,cnt);

$dumpvars(2,counter_test);

end task expect;input [4:0]expects;

if(cnt!==expects)begin

$display(“At time %t cnt is %b and should be %b”,$time,cnt,expects);

$display(“TEST FAILED”);

$finish;

end endtask initial begin

@(negedge clk)

{rst_,load,data}=7'b0_X_XXXXX;@(negedge clk)expect(5'h00);

{rst_,load,data}=7'b1_1_11101;@(negedge clk)expect(5'h1D);

{rst_,load,data}=7'b1_0_11101;

repeat(5)@(negedge clk);

expect(5'h02);

{rst_,load,data}=7'b1_1_11111;@(negedge clk)expect(5'h1F);

{rst_,load,data}=7'b0_X_XXXXX;@(negedge clk)expect(5'h00);

$display(“TEST PASSED”);

$finish;

end endmodule

四、仿真结果与波形

五、思考题

该电路中,rst-是同步还是异步清零端?

在counter.v的always块中reset没有等时钟,而是直接清零。所以是异步清零端。

LAB 3:简单时序逻辑电路的设计一、二、实验目的 实验原理 使用预定义的库元件来设计八位寄存器。

八位寄存器中,每一位寄存器由一个二选一MUX和一个触发器dffr组成,当load=1,装载数据;当load=0,寄存器保持。对于处理重复的电路,可用数组条用的方式,使电路描述清晰、简洁。

三、源代码

clock.v `timescale 1 ns /1 ns module clock(clk);reg clk;output clk;initial begin clk=0;forever begin #10 clk=1'b1;#10 clk=1'b0;end end endmodule

mux及dffr模块调用代码

mux mux7(.out(n1[7]),.sel(load),.b(data[7]),.a(out[7]));dffr dffr7(.q(out[7]),.d(n1[7]),.clk(clk),.rst_(rst_));mux mux6(.out(n1[6]),.sel(load),.b(data[6]),.a(out[6]));dffr dffr6(.q(out[6]),.d(n1[6]),.clk(clk),.rst_(rst_));mux mux5(.out(n1[5]),.sel(load),.b(data[5]),.a(out[5]));dffr dffr5(.q(out[5]),.d(n1[5]),.clk(clk),.rst_(rst_));mux mux4(.out(n1[4]),.sel(load),.b(data[4]),.a(out[4]));dffr dffr4(.q(out[4]),.d(n1[4]),.clk(clk),.rst_(rst_));

mux mux3(.out(n1[3]),.sel(load),.b(data[3]),.a(out[3]));dffr dffr3(.q(out[3]),.d(n1[3]),.clk(clk),.rst_(rst_));mux mux2(.out(n1[2]),.sel(load),.b(data[2]),.a(out[2]));dffr dffr2(.q(out[2]),.d(n1[2]),.clk(clk),.rst_(rst_));mux mux1(.out(n1[1]),.sel(load),.b(data[1]),.a(out[1]));dffr dffr1(.q(out[1]),.d(n1[1]),.clk(clk),.rst_(rst_));mux mux0(.out(n1[0]),.sel(load),.b(data[0]),.a(out[0]));dffr dffr0(.q(out[0]),.d(n1[0]),.clk(clk),.rst_(rst_));

例化寄存器

register r1(.data(data),.out(out),.load(load),.clk(clk),.rst_(rst_));例化时钟

clock c1(.clk(clk));

添加检测信号 initial begin $timeformat(-9,1,“ns”,9);$monitor(“time=%t,clk=%b,data=%h,load=%b,out=%h”, $stime,clk,data,load,out);$dumpvars(2,register_test);end

四、仿真结果与波形

LAB 4:用always块实现较复杂的组合逻辑电路

一、实验目的

掌握用always实现组合逻辑电路的方法;

了解assign与always两种组合逻辑电路实现方法之间的区别。

二、实验原理

仅使用assign结构来实现组合逻辑电路,在设计中会发现很多地方显得冗长且效率低下。适当地使用always来设计组合逻辑,会更具实效。

本实验描述的是一个简单的ALU指令译码电路的设计示例。它通过对指令的判断,对输入数据执行相应的操作,包括加、减、或和传数据,并且无论是指令作用的数据还是指令本身发生变化,结果都要做出及时的反应。

示例中使用了电平敏感的always块,电平敏感的触发条件是指在@后括号内电平列表的任何一个电平发生变化就能触发always块的动作,并且运用了case结构来进行分支判断。

在always中适当运用default(在case结构中)和else(子if…else结构中),通常可以综合为纯组合逻辑,尽管被赋值的变量一定要定义为reg型。如果不使用default或else对缺省项进行说明,易产生意想不到的锁存器。

三、源代码

电路描述

always@(opcode or data or accum)begin if(accum==8'b00000000)#1.2 zero=1;else #1.2 zero=0;

case(opcode)PASS0: #3.5 out =accum;PASS1: #3.5 out =accum;ADD: #3.5 out = data + accum;AND: #3.5 out =data&accum;XOR: #3.5 out =data^accum;PASSD: #3.5 out=data;PASS6:#3.5 out=accum;PASS7:#3.5 out=accum;default:#3.5 out=8'bx;endcase end

四、仿真结果与波形

LAB 5:存储器电路的设计一、二、实验目的 实验原理 设计和测试存储器电路。

本实验中,设计一个模块名为mem的存储器仿真模型,该存储器具有双线数据总线及异步处理功能。由于数据是双向的,所以要注意,对memory的读写在时序上要错开。

三、源代码

自行添加的代码

assign data=(read)?memory[addr]:8'hZ;

always @(posedge write)begin memory[addr]<=data[7:0];end

四、仿真结果与波形

LAB 6:设计时序逻辑时采用阻塞赋值与非阻塞赋值的区别

一、实验目的

明确掌握阻塞赋值与非阻塞赋值的概念和区别; 了解阻塞赋值的使用情况。

二、实验原理

在always块中,阻塞赋值可以理解为赋值语句是顺序执行的,而非阻塞赋值可以理解为并发执行的。实际时序逻辑设计中,一般情况下非阻塞赋值语句被更多的使用,有时为了在同一周期实现相互关联的操作,也使用阻塞赋值语句。

三、源代码

blocking.v `timescale 1 ns/ 100 ps

module blocking(clk,a,b,c);

output[3:0]b,c;

input [3:0]a;

input

clk;

reg

[3:0]b,c;

always@(posedge clk)

begin

b =a;

c =b;

$display(“Blocking: a=%d,b=%d,c=%d.”,a,b,c);

end endmodule

non_blocking.v `timescale 1 ns/ 100 ps module non_blocking(clk,a,b,c);

output[3:0] b,c;input[3:0] a;input clk;reg [3:0]b,c;always @(posedge clk)begin b<=a;c<=b;$display(“Non_blocking:a=%d,b=%d,c=%d”,a,b,c);end endmodule compareTop.v `timescale 1 ns/ 100 ps module compareTop;wire [3:0] b1,c1,b2,c2;reg[3:0]a;reg clk;initial begin clk=0;forever #50 clk=~clk;end initial $dumpvars(2,compareTop);initial begin a=4'h3;$display(“_______________________________”);# 100 a =4'h7;$display(“_______________________________”);# 100 a =4'hf;$display(“_______________________________”);# 100 a =4'ha;$display(“_______________________________”);# 100 a =4'h2;$display(“_______________________________”);# 100 $display(“_______________________________”);$finish;end non_blocking nonblocking(clk,a,b2,c2);blocking blocking(clk,a,b1,c1);endmodule

四、仿真结果与波形

LAB 7:利用有限状态机进行复杂时序逻辑的设计一、二、实验目的 实验原理 掌握利用有限状态机(FSM)实现复杂时序逻辑的方法。

控制器是CPU的控制核心,用于产生一系列的控制信号,启动或停止某些部件。CPU何时进行读指令,何时进行RAM和I/O端口的读写操作等,都由控制器来控制。

三、源代码

补充代码

nexstate<=state+1'h01;case(state)1:begin sel=1;rd=0;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 2:begin sel=1;rd=1;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 3:begin sel=1;rd=1;ld_ir=1;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 4:begin sel=1;rd=1;ld_ir=1;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 5:begin sel=0;rd=0;ld_ir=0;inc_pc=1;ld_pc=0;data_e=0;ld_ac=0;wr=0;if(opcode==`HLT)halt=1;end 6:begin sel=0;rd=alu_op;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 7:begin sel=0;rd=alu_op;ld_ir=0;halt=0;data_e=!alu_op;ld_ac=0;wr=0;if(opcode==`SKZ)inc_pc<=zero;if(opcode==`JMP)ld_pc=1;end 0:begin sel=0;rd=alu_op;ld_ir=0;halt=0;data_e=!alu_op;ld_ac=alu_op;inc_pc=(opcode==`SKZ)&zero||(opcode==`JMP);if(opcode==`JMP)ld_pc=1;if(opcode==`STO)wr=1;end //default:begin sel=1'bZ;rd=1'bZ;ld_ir=1'bZ;inc_pc=1'bZ;halt=1'bZ;ld_pc=1'bZ;data_e=1'bZ;ld_ac=1'bZ;wr=1'bZ;end endcase end

control_test.v /***************************** * TEST BENCH FOR CONTROLLER * *****************************/

`timescale 1 ns / 1 ns

module control_test;

reg [8:0] response [0:127];

reg [3:0] stimulus [0:15];

reg [2:0] opcode;

reg

clk;

reg

rst_;

reg

zero;

integer

i,j;

reg[(3*8):1] mnemonic;

// Instantiate controller

control c1(rd , wr , ld_ir , ld_ac , ld_pc , inc_pc , halt , data_e , sel , opcode , zero , clk , rst_);

// Define clock

initial begin

clk = 1;

forever begin

#10 clk = 0;

#10 clk = 1;

end

end

// Generate mnemonic for debugging purposes

always @(opcode)

begin

case(opcode)

3'h0

: mnemonic = “HLT”;

3'h1

: mnemonic = “SKZ”;

3'h2

: mnemonic = “ADD”;

3'h3

: mnemonic = “AND”;

3'h4

: mnemonic = “XOR”;

3'h5

: mnemonic = “LDA”;

3'h6

: mnemonic = “STO”;

3'h7

: mnemonic = “JMP”;

default : mnemonic = “???”;

endcase

end

// Monitor signals

initial

begin

$timeformat(-9, 1, “ ns”, 9);

$display(“ time

rd wr ld_ir ld_ac ld_pc inc_pc halt data_e sel opcode zero state”);

$display(“--------------------------------------------------------------”);//

$shm_open(“waves.shm”);//

$shm_probe(“A”);//

$shm_probe(c1.state);

end

// Apply stimulus

initial

begin

$readmemb(“stimulus.pat”, stimulus);

rst_=1;

@(negedge clk)rst_ = 0;

@(negedge clk)rst_ = 1;

for(i=0;i<=15;i=i+1)

@(posedge ld_ir)

@(negedge clk)

{ opcode, zero } = stimulus[i];

end

// Check response

initial

begin

$readmemb(“response.pat”, response);

@(posedge rst_)

for(j=0;j<=127;j=j+1)

@(negedge clk)

begin

$display(“%t %b %b %b

%b

%b

%b

%b

%b %b

%b

%b”,$time,rd,wr,ld_ir,ld_ac,ld_pc,inc_pc,halt,data_e,sel,opcode,zero,c1.state);

if({rd,wr,ld_ir,ld_ac,ld_pc,inc_pc,halt,data_e,sel}!==

response[j])

begin : blk

reg [8:0] r;

r = response[j];

$display("ERRORTEST1 PASSED!

111_00000

// 18

JMP BEGIN //run test again

@1A 00000000

// 1A

DATA_1:

//constant 00(hex)

11111111

// 1B

DATA_2:

//constant FF(hex)

10101010

// 1C

TEMP:

//variableTEST2 PASSED!

111_00000

// 11

JMP BEGIN

//run test again

@1A 00000001

// 1A

DATA_1:

//constant 1(hex)

10101010

// 1B

DATA_2:

//constant AA(hex)

11111111

// 1C

DATA_3:

//constant FF(hex)

00000000

// 1D

TEMP:

CPUtest3.dat //opcode_operand // addr

assembly code //--------------//-------------------------

111_00011

// 00

JMP LOOP

//jump to the address of LOOP @03 101_11011

// 03

LOOP:

LDA FN2

//load value in FN2 into accum

110_11100

// 04

STO TEMP

//store accumulator in TEMP

010_11010

// 05

ADD FN1

//add value in FN1 to accumulator

110_11011

// 06

STO FN2

//store result in FN2

101_11100

// 07

LDA TEMP

//load TEMP into the accumulator

110_11010

// 08

STO FN1

//store accumulator in FN1

100_11101

// 09

XOR LIMIT //compare accumulator to LIMIT

001_00000

// 0A

SKZ

//if accum = 0, skip to DONE

111_00011

// 0B

JMP LOOP

//jump to address of LOOP

000_00000

// 0C

DONE:

HLT

//end of program

101_11111

// 0D

AGAIN: LDA ONE

110_11010

// 0E

STO FN1

101_11110

// 0F

LDA ZERO

110_11011

// 10

STO FN2

111_00011

// 11

JMP LOOP

//jump to address of LOOP

@1A 00000001

// 1A

FN1:

//variablestores 2nd Fib.No.00000000

// 1C

TEMP:

//temporary variable

10010000

// 1D

LIMIT:

//constant 144stores 1st Fib.No.00000101

// 1B

data2:

//5

variablemax value

00000110

// 1E

LIMIT:

// 6

constant 1

11111111

// 1F

AND1:

//FF and

四、仿真结果与波形

第二部分 电路综合一、二、三、四、实验目的 实验内容 源代码

门级电路仿真结果与波形 掌握逻辑综合的概念和流程,熟悉采用Design Compiler进行逻辑综合的基本方法。采用SYNOPSYS公司的综合工具Design Compiler对实验7的control.v做综合。与实验指导书中相同。

五、思考题

1.control_pad.v文件是verilog语言及的描述还是结构化的描述?

是结构化的描述。

2.control_pad.sdf文件中,对触发器的延迟包括哪些信息?

包括对逻辑单元和管脚的上升/下降时延的最大值、最小值和典型值。

第三部分 版图设计一、二、三、四、实验目的 实验内容 源代码

仿真结果与波形 掌握版图设计的基本概念和流程,熟悉采用Sysnopsys ICC工具进行版图设计的方法。对电路综合输出的门级网表control_pad.v进行布局布线。与实验指导书中相同。布局规划后结果

未产生core ring和mesh前

产生core ring和mesh后

电源线和电影PAD连接后

filler PAD填充后

布局后结果

时钟树综合后结果

布线后结果

寄生参数的导出和后仿

五、思考题

1.简述ICC在design setup阶段的主要工作。

创建设计库,读取网表文件并创建设计单元,提供并检查时间约束,检查时钟。在对之前的数据与信息进行读取与检查后保存设计单元。2.为什么要填充filler pad?

filler pad把分散的pad单元连接起来,把pad I/O区域供电连成一个整体。使它们得到持续供电并提高ESD保护能力。3.derive_pg_connection的作用是什么?

描述有关电源连接的信息。4.简述floorplan的主要任务。

对芯片大小、输入输出单元、宏模块进行规划,对电源网络进行设计。5.简述place阶段的主要任务。

对电路中的延时进行估计与分析,模拟时钟树的影响,按照时序要求,对标准化单元进行布局。

6.简述CTS的主要步骤。

设置时钟树公共选项;综合时钟树;重新连接扫描链;使能传播时钟;Post-CTS布局优化;优化时钟偏移;优化时序。

实验总结

经过数周的ASIC专业实验,我对芯片设计流程、Verilog HDL语言、Linux基本指令和Vi文本编辑器有了基本的了解。虽然之前对芯片设计、VHDL一无所知,但通过实验初步熟悉了ASIC的体系结构和VHDL的基本语法,对电路中时钟、寄生参数、元件布局带来的影响也有了了解。我在实验中也遇到了许多问题,但我在老师、助教、同学的帮助下解决了这些问题,也有了更多收获。通过这次ASIC专业实验,我加深了对本专业的认识。我会继续努力成为合格的电子人。

下载北邮专业实习心得LTE(本站推荐)word格式文档
下载北邮专业实习心得LTE(本站推荐).doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    考研心得(北邮计算机)

    我是计算机专业,考的北京邮电大学计算机。 确定能考上之后就一直想写个什么经验的出来分享下,毕竟都是从那个时候过来的,不过这一拖就拖到毕业了,还毕业已经一个月了,呵呵,直到今......

    北邮计算机考研心得(本站推荐)

    考研心得: 我是计算机专业,本科重庆邮电大学计算机,考的北京邮电大学计算机。 确定能考上之后就一直想写个什么经验的出来分享下,毕竟都是从那个时候过来的,不过这一拖就拖到毕业......

    北邮中兴实习报告

    北京邮电大学学生实习总结报告 姓名: X XX 班级: 2013211XXX 学号: 2013211XXX 专业: 计算机科学与技术学院: 计算机学院实习时间:2016.5.15-2016.5.29 实习地点:北交科技大厦......

    北邮自动化专业考研(含五篇)

    北邮自动化专业考研%盛世清北%调剂经验分享时间很重要,很多学校都是先到先得,联系老师很重要,越优秀的学生越该主动联系老师!怎么联系可以参见我的另一个帖子,那里面有我邮件的模......

    北邮 通信认识实习论文

    通信认识实习论文 经过了电子工艺实习的智能小车的制作,我们迎来了为期一周的通信认识实习。如果说电子工艺实习收获更多的是动手焊接的快乐和自我调试小车所能达到预期效果......

    2012北邮信号与系统统实习报告

    班级:2011211206姓名:黄超学号:2011211007 信号与系统实验心得体会 信号与系统是电子信息类专业的一门重要的专业基础课程,由于该课程核心的基本概念、基本理论和分析方法都非常......

    北邮中兴实习小组报告

    模块一:硬件设备 一、TD-LTE eNodeB概述 1、简介 eNodeB即演进型Node B简称eNB,LTE中基站的名称,(相比现有3G中的Node B,集成了部分RNC的功能,减少了通信时协议的层次)Node B是3G移......

    北邮协力超越实习个人报告

    北京邮电大学学生实习总结报告 姓名:刘炳辰 班级:2013211314 学号:2013211555 专业:网络工程 学院:计算机学院 实习时间:中兴通信学院北京培训中心 实习地点:(见附件) 学生签字: 实习......