数字逻辑2013考试复习重点

时间:2019-05-14 04:41:16下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《数字逻辑2013考试复习重点》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《数字逻辑2013考试复习重点》。

第一篇:数字逻辑2013考试复习重点

数字逻辑2013考试复习重点

 分数比例:教材第1~3章、后续章节各约占50%。

 题型包括:

 单选题(1’×15=15’)

 判断题(1’×15=15’)

 填空题(2’×10=20’)

 综合题(5’+5’+16’+16’+8’=50’)

 各章习题必须掌握。

 第1章

 1.1-1.2熟练掌握

 1.3熟练掌握各种逻辑函数表示及简化方法

 第2章

 2.1-2.3熟练掌握

 2.4熟练掌握组合逻辑电路的基本设计方法,掌握利用译码器或数据选择器实现组合逻辑电路的原理与方法。

 第3章

 3.1-3.2掌握

 3.3掌握时序逻辑电路的基本分析方法。

 3.4熟练掌握寄存器、计数器的分析与设计方法,掌握用清零法和置数法设计设计N进制计数器。

 3.5掌握基本的时序电路设计方法,会画状态图。

 3.6掌握各种基本概念。

 第4、5章

 Verilog的基本概念

 熟练掌握各种Verilog的基本操作符、常用系统任务与函数。 熟练掌握各种基本语句与编程风格。

 掌握Libero(特别是ModelSim)的基本使用方法、流程和特点。 第6章

 掌握各种基本组合电路的Verilog程序实现。

 掌握加法器、乘法器、补码生成等电路的Verilog实现方法。 第7章

 掌握各种基本时序电路的Verilog程序实现,包括各类寄存器和计数器。 熟练掌握FSM的概念和属性。

 熟练掌握FSM的设计方法和Verilog实现(1、2、3-always),会根据状态图编写程序。

 考试范围包括但不限于上述知识点。

 请认真复习,争取好成绩!

第二篇:数字逻辑重点

1.基本逻辑和复合逻辑。如给出输入信号的波形,画出输出的波形,或者发过来

2.几种常见的BCD码,如8421码,2421码,5421码的转换

3.公式法化简,必考一道

4.卡诺图化简,有多余项的函数化简,必考一道

5.组合逻辑电路的分析,按照例题4.1的步骤来分析

6.组合逻辑电路的设计,7.用译码器74LS138或者数据选择器来实现逻辑函数,P82-P85

8.编码器、译码器、数值比较器的扩展

9.能写出一些实际功能的逻辑函数,比如三变量多数表决器,其中A有否决权FABCABCABC、三变量一致电路等

10.RS触发器、D触发器、JK触发器的真值表、特性表、特征方程、状态转换图,11.已知触发器的电路,在CP脉冲的作用下,画出触发器的波形,书上的例题,以及习题例题5.2

12.时序逻辑电路的分析

13.集成计数器的应用,74LS161,74LS169,74LS90,74LS192,以及组成任意计数器的接法,P131-P133

14.存储器的分类和扩展,图7-16图7-17图7-18

一、单项选择题。(10小题,每小题2分,共20分)

二、填空题(5小题,每空1分,共10分)

三、逻辑函数化简(2小题,共10分)

四、分析题(共5小题,每小题8分,共40分)

五、设计题。(共2小题,共20分)

第三篇:《数字逻辑》复习重点和范围(2010)OK

《数字逻辑》复习重点和范围

说明:

1.复习方法

请参照课件和教材,认真、系统复习所学内容,重点掌握一些基本概念、公式和定理;数制的表示和转换方法,原码、反码和补码的表示方法,补码的加法、减法运算;逻辑函数的不同表示方法,逻辑代数的基本公式、常用公式和重要定理,逻辑函数的公式简化法;Verilog HDL的词法和常用语句;构成门电路的基本元件(二极管、三极管)的稳态开关特性,常用门电路的功能、外部特性和主要参数;常用组合逻辑电路的电路结构和逻辑功能,组合逻辑电路的分析方法和设计方法;触发器的电路结构、工作原理、功能及约束条件,设计方法;时序逻辑电路的特点、描述方法、分析方法和设计方法;半导体存储器的工作原理和扩展存储容量的方法;PLD的基本结构和设计方法。

一定要弄懂每章作业与习题答案中的每一道题,并能够自己独立(脱离教材与课件)、熟练完成。

2.课程目标

通过本课程的学习,应熟练掌握数字逻辑的基本理论(数制、编码、逻辑代数等),熟悉数字逻辑电路基本器件(数字集成电路和可编程逻辑器件)的电路结构、功能和使用方法,熟练掌握数字逻辑电路的分析方法和基于Verilog HDL的设计方法。

3.考试题型

填空题、单选题、简答与计算题、分析与设计题。题量较大,请同学一定认真对待、认真复习!确保做题的速度和质量。

凡在课件中注明“了解即可”或“自学”的内容不进行考核。预祝同学们期末考试取得好成绩!

第1章数制与编码

1.重点

 数制的表示方法 十进制转换为N进制的转换方法、二进制与八进制或十六进制的相互转换 原码、反码和补码的表示方法,补码的加法运算和减法运算 十进制数的二进制编码

2.范围

1.2数制及其转换

1.2.1数制

1.2.2数制之间的转换

1.2.3二进制算术运算

1.3编码

1.3.1带符号的二进制数的编码

1.3.3二-十进制编码

第2章逻辑代数和硬件描述语言基础

1.重点

 逻辑函数的表示方法(由真值表推导出逻辑函数表达式的最小项推导法和最大项推导法)

 逻辑代数基本公式、基本定理和常用公式

 逻辑函数的标准表达式

 逻辑函数的公式简化法(“与或”表达式的化简)

 Verilog HDL的词法

 Verilog HDL的常用语句(如assign语句、if_else语句、case语句,always块语句的正确使用,任务和函数的用法)

2.范围

2.1逻辑代数基本概念

2.1.2基本逻辑和复合逻辑

2.1.3逻辑函数的表示方法

2.2逻辑代数的运算法则

2.2.1逻辑代数的基本公式

2.2.2逻辑代数的基本定理

2.2.3逻辑代数的常用公式

2.3逻辑函数的表达式

2.3.1逻辑函数的常用表达式

2.3.2逻辑函数的标准表达式

2.4逻辑函数的公式简化法

2.4.2逻辑函数的公式简化法

2.5Verilog HDL基础

2.5.2Verilog HDL的词法

2.5.3Verilog HDL常用语句(赋值语句、条件语句、always块语句,任务和函数)

2.5.4不同抽象级别的Verilog HDL模型

第3章门电路

1.重点

 常用逻辑门电路的功能 晶体二极管的稳态开关特性 晶体三极管的稳态开关特性 TTL与非门的外部特性(主要是电压传输特性、输出特性)、主要参数 TTL其他类型门电路(OC门、TS门)MOS门逻辑表达式推导方法 能够使用Verilog HDL设计门电路

2.范围

3.2晶体二极管和三极管的开关特性

3.2.1常用半导体器件(主要是一些基本概念)

3.2.2晶体二极管的开关特性(主要是稳态开关特性)

3.2.3晶体三极管的开关特性(主要是稳态开关特性)

3.4TTL集成门

3.4.1TTL集成与非门

3.4.2TTL与非门的电气特性

3.4.3TTL与非门的主要参数

3.4.4TTL其他类型门电路(主要是OC门、TS门)

3.5MOS集成门

补充—MOS门逻辑表达式推导方法

补充—各种集成门电路性能比较

3.6基于Verilog HDL的门电路设计

第5章组合逻辑电路

1.重点

 组合逻辑电路与时序逻辑电路的特点

 组合逻辑电路的分析方法

根据给定的某逻辑电路,能够推导出其逻辑函数表达式;利用公式法进行化简,得到最简表达式;并写出真值表;通过分析真值表确定其逻辑功能。

 组合逻辑电路的自动设计方法

方法一:最简单的方法是根据电路的逻辑功能,直接用Verilog HDL的行为描述方式编写源程序。适于具有优先级逻辑的电路(例如优先编码器)或根据不同的条件(一般为使能信号)执行不同的操作的电路(例如译码器、数值比较器等),常用if语句描述;也适于逻辑功能比较简单、输出信号单一的电路(如加法器、伪码检验电路、某判别电路),直接用assign语句描述。

方法二:根据电路的逻辑功能,列出真值表;然后直接用HDL描述逻辑功能(如case

语句)。适于对同一组控制信号取不同的值时,输出取不同的值,例如奇偶校验器。

方法三:根据电路的逻辑功能,列出真值表;然后根据真值表写出逻辑函数的标准表达式,再用HDL描述逻辑功能(如用assign语句)。适于逻辑函数表达式比较容易写出的电路。

方法四:根据电路的逻辑功能,列出真值表;然后根据真值表写出逻辑函数的标准表达式;再根据逻辑函数表达式画出逻辑图。适于逻辑图比较简单的电路。

 常用组合逻辑电路的电路结构和逻辑功能(加法器、编码器、译码器、数据选择器、数值比较器、奇偶校验器等)

 译码器的应用,数据选择器的应用

 基于Verilog HDL的组合逻辑电路设计方法(上述方法一~方法三)

2.范围

5.1概述

5.1.1组合逻辑电路的结构和特点

5.1.2组合逻辑电路的分析方法

5.1.3组合逻辑电路的设计方法

5.2常用组合逻辑电路及其设计方法

5.2.1算术运算电路

5.2.2编码器

5.2.3译码器

5.2.4数据选择器

5.2.5数值比较器

5.2.6奇偶校验器

第6章触发器

1.重点

 触发器的特点和分类

 基本RS触发器、钟控触发器、边沿触发器的逻辑功能及描述方法

 基于Verilog HDL的触发器设计方法

2.范围

6.1概述

6.1.2触发器的特点

6.2基本RS触发器

6.2.1由与非门构成的基本RS触发器

6.2.2由或非门构成的基本RS触发器

6.3钟控触发器

6.3.1钟控RS触发器

6.3.2钟控D型触发器

6.3.3钟控JK触发器

6.3.4钟控T触发器

6.3.5钟控T’触发器

6.4集成触发器

6.4.2边沿JK触发器

6.5触发器之间的转换

6.5.1用JK触发器转换

6.5.2用D触发器转换

第7章时序逻辑电路

1.重点

 时序逻辑电路的描述方法

 同步时序逻辑电路和异步时序逻辑电路的分析方法(一定要掌握)

Setp1:根据电路结构写出各触发器的驱动方程和输出方程,若为异步时序电路,需再写出时钟方程;

Setp2:求状态方程(即将各个触发器的驱动方程代入相应的特性方程,并化简); Setp3:将输入变量和触发器初态的各种取值组合,代入状态方程和输出方程,计算出各级触发器的次态值和电路的输出值,得到状态转换表;

Setp4:画状态转换图或时序图;

Setp5:描述电路功能。当存在非工作状态时,也需要检查能否自启动。 有限状态机FSM的HDL设计方法

 常用时序逻辑电路的工作原理、逻辑功能及使用方法

 基于Verilog HDL的时序逻辑电路设计方法

2.范围

7.1概述

7.1.1时序逻辑电路的描述方法

7.1.2时序逻辑电路功能的分析方法

7.2有限状态机

7.2.1有限状态机概述

7.2.2Moore型有限状态机

7.3数码寄存器和移位寄存器

7.3.1数码寄存器

7.3.2移位寄存器

7.3.3集成移位寄存器

7.4计数器

7.4.1同步计数器

7.4.2异步计数器

7.4.3集成计数器(集成计数器实现M进制计数)

7.5基于Verilog HDL的时序逻辑电路设计

7.5.1数码寄存器的设计

7.5.2移位寄存器的设计

7.5.3计数器的设计

7.5.4顺序脉冲发生器的设计

第8章程序逻辑电路

1.重点

 半导体存储器的工作原理

 扩展存储容量的方法

RAM或ROM的扩展:如单片存储器芯片输出数据的位数不够,需进行位扩展;如单片存储器芯片的字数不够,需进行字扩展;如存储器芯片的位数和字数都不够用,则需同时进行位和字的扩展。

 基于Verilog HDL的存储器设计方法

2.范围

8.1概述

8.1.1程序逻辑电路的结构及特点

8.1.2半导体存储器的结构

8.1.3半导体存储器的分类

8.2随机存储器

8.2.1静态随机存储器SRAM(“工作原理的分析”不要求)

8.2.2动态随机存储器DRAM(“工作原理的分析”不要求)

8.2.3RAM典型芯片

8.2.4RAM芯片扩展(重点掌握)

8.3只读存储器

8.3.1ROM的结构(几种ROM的“工作原理的分析”不要求)

8.3.2ROM的扩展(与RAM扩展相同)

8.3.3ROM的应用(ROM实现任意组合逻辑函数的设计方法)

8.4基于Verilog HDL的存储器设计

8.4.1RAM的HDL设计

8.4.2ROM的HDL设计

第9章可编辑逻辑器件

1.重点

 阵列型PLD和单元型PLD的基本结构和特点

主要是一些基本概念

2.范围

9.1PLD的基本原理

9.1.1可编程逻辑器件的分类

9.1.2阵列型PLD

9.1.3现场可编程门阵列FPGA

9.1.4基于查找表的结构

9.2PLD的设计技术

9.2.1PLD 的设计方法

9.2.3在系统可编程技术(主要是定义)

9.2.4边界扫描技术(主要定义)

第四篇:数字逻辑复习范围

数字逻辑复习范围 P143,4.4,4.9;章节

1.1.2

1.2

1.3

1.4

2.1.3

2.4.2

3.4.1

3.4.2

4.2

5.3

7.1.2

7.1.3

题型:

1:选择题20分 2:填空20分

3:卡若图化简16分 4:状态化简10分

5:逻辑设计16+20=36分(组合逻辑电路设计,同步时序逻辑设计)

第五篇:数字逻辑复习大纲

第一章基本知识

一、模拟电路和数字电路的区别

二、组合逻辑电路和时序逻辑电路的区别:输出只与当时的输入有关,如编码器,比较器等;输出不仅与当时的输入有关,还与电路原来的状态有关。如:触发器,计数器,寄存器等。

三、数制及其转换

1.不同的数制及其各种进制转换方法

2.几种常用的编码

(1)BCD码

用4位二进制代码对十进制数字符号进行编码,简称为二–十进制代码,或称BCD(Binary Coded Decimal)码。BCD码既有二进制的形式,又有十进制的特点。常用的BCD码有8421码、5421码、2421码和余3码。

(1--1)8421码:是用4位二进制码表示一位十进制字符的一种有权码,4位二进制码从高位至低位的权依次为23、22、21、20,即为8、4、2、1,故称为8421码。8421码中不允许出现1010~1111六种组合。

(1--2)5421码:用4位二进制码表示一位十进制字符的另一种有权码,4位二进制码从高位至低位的权依次为5、4、2、1,故称为5421码。5421码中不允许出现0101、0110、0111和1101、1110、1111六种组合。

(1--3)2421码: 用4位二进制码表示一位十进制字符的另一种有权码,4位二进制码从高位至低位的权依次为2、4、2、1,故称为2421码。

(1--4)余3码:由8421码加上0011形成的一种无权码,由于它的每个字符编码比相应8421码多3,故称为余3码。例如,十进制字符5的余3码等于5的8421码0101加上0011,即为1000。

(2)可靠性编码

(2--1)格雷码:1.特点:任意两个相邻的数,其格雷码仅有一位不同。

2.作用:避免代码形成或者变换过程中产生的错误。

掌握二进制和格雷码的转换方法

(2--2)奇偶检验码:奇偶检验码是一种用来检验代码在传送过程中是否产生错

误的代码。

第二章 逻辑代数

一、各种逻辑代数定律

二、基本逻辑运算符号

三、逻辑代数的基本定理和规则

三个基本运算规则

1.代入规则:任何含有某变量的等式,如果等式中所有出现此变量的位置均代之以一个逻辑函数式,则此等式依然成立。

2.反演规则:把式中的运算符“•”换成“+”, “+” 换成“•”; 常量“0”换成“1”,“1”换成“0”; 原变量换成反变量,反变量换成原变量。

3.对偶规则:若把式中的运算符“.”换成“+”,“+”换成“.”; 常量“0”换成“1”,“1”换成“0”。

三种规则均不能改变逻辑函数本身的运算顺序。

四、函数表达式的常用形式(五种常用形式)

五、逻辑函数表达式的标准形式:注意标准最小项(与或式)和标准最大项(或与式)的转换方法:代数转换法,真值表转换法。

六、逻辑函数化简:逻辑函数化简有2种常用方法:代数化简法、卡诺图化简法。

1.代数法化简

代数化简法就是运用逻辑代数的公理、定理和规则对逻辑函数进行化简的方法。

(1)“与-或”表达式的化简

(2)“或-与”表达式的化简

七、卡诺图(K图)

1.n个逻辑变量的函数,卡诺图有2n个方格,对应2n个最小项。

2.行列两组变量取值按循环码规律排列,相邻最小项为逻辑相邻项。

3.相邻有邻接和对称两种情况。

4.掌握4个以内逻辑变量卡诺图的画法

5.卡诺图化简

(1)圈要尽可能大,每个圈包含2n个相邻项。

(2)圈的个数要少,使化简后逻辑函数的与项最少。

(3)所有含1的格都应被圈入,以防止遗漏积项。

(4)圈可重复包围但每个圈内必须有新的最小项。

6.具有无关项逻辑函数的化简方法

(1)填函数的卡诺图时,在无关项对应的格内填任意符号“Φ”、“d”或“×”。

(2)化简时可根据需要,把无关项视为“1”也可视为“0”,使函数得到最简。

第三章 集成门电路与触发器

一、正逻辑与负逻辑的概念

正逻辑:用高电平表示逻辑1,低电平表示逻辑0。

负逻辑:用高电平表示逻辑0,低电平表示逻辑1。

二、逻辑函数的实现

1.用与非门实现逻辑函数

用与非门实现逻辑函数一般步骤:

第一步:求出函数的最简与—或表达式。

第二步:将最简与—或表达式变换成与非—与非表达式。

第三步:画出逻辑电路图。

2.用或非门实现逻辑函数一般步骤

第一步:求出函数的最简或—与表达式。

第二步:将最简或—与表达式变换成或非—或非表达式。

第三步:画出逻辑电路图。

3.用与或非门实现逻辑函数

第一步:求出给定函数反函数的最简与-或表达式。

第二步:对反函数的最简与-或表达式取反,得到原函数 的与-或-非表达式。第三步:画出逻辑电路图。

第四章 组合逻辑电路

一、组合逻辑电路定义

若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。

组合电路具有两个特点:

a.由逻辑门电路组成,不包含任何记忆元件;

b.信号是单向传输的,不存在反馈回路。

二、组合逻辑电路分析

逻辑电路分析,是指对一个给定的逻辑电路,找出其输出与输入之间的逻辑关系。一般步骤:

1. 写出输出函数表达式

根据逻辑电路图写输出函数表达式时,一般从输入端开始往输出端逐级推导,直至得到所有与输入变量相关的输出函数表达式为止。

2. 输出函数表达式化简

运用代数法或卡诺图法进行化简

3. 列出输出函数真值表

真值表详尽地给出了输入、输出取值关系,能直观地反映电路的逻辑功能。根据化简表达式列出真值表。

4.功能评述

概括出对电路逻辑功能的文字描述,并对原电路的设计方案进行评定,必要时提出改进意见和改进方案。

三、组合逻辑电路设计

根据问题要求完成的逻辑功能,求出在特定条件下实现给定功能的逻辑电路,称为逻辑设计,又叫做逻辑综合。

一般步骤:

1.建立给定问题的逻辑描述

2.求出逻辑函数最简表达式

3.选择器件并对表达式变换

4.画出逻辑电路图

四、组合逻辑电路中的险象

1.险象的判断

判断电路是否可能产生险象的方法有代数法和卡诺图法。

2.险象的消除

(1)用增加冗余项的方法消除险象

(2)增加惯性延时环节

(3)选通法

五、常用中规模组合逻辑器件

1.用4位二进制并行加法器设计一个4位二进制并行加法/减法器。

2.译码器和编码器

译码器的功能是对具有特定含义的输入代码进行“翻译”,将其转换成相应的输出信号。译码器的种类很多,常见的有二进制译码器、二-十进制译码器和数字显示译码器等。主要讨论二进制译码器。

(1)二进制译码器

二进制译码器:能将n个输入变量变换成2n个输出函数,且输出函数与输入变量构成的最小项具有对应关系的一种多输出组合逻辑电路。

(2)译码器的应用举例(用译码器74138和适当的与非门实现全减器的功能。)

3.多路选择器和多路分配器

多路选择器的功能和应用

第五章触发器

掌握基本R-S触发器、简单钟控触发器、主从触发器和边沿触发器的特性。

第六章时序逻辑电路

一、时序逻辑电路与组合逻辑电路的区别

二、同步和异步时序电路的区别

三、同步时序逻辑电路的分析方法

四、异步时序逻辑电路的分析方法

下载数字逻辑2013考试复习重点word格式文档
下载数字逻辑2013考试复习重点.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    频率计(格式)数字逻辑

    课程设计任务书 (指导教师填写) 课程设计名称 电子技术课程设计 学生姓名 专业班级设计题目简易数字频率计一、课程设计的任务和目的 任务: 设计一个简易数字频率计,用来测量......

    数字逻辑理论

    参考书(华中科技大学康华光主编第五版) 第一章 数字逻辑理论 1.1掌握占空比的概念(04年第九题提到占空比)。 1.2掌握二进制,八进制,十进制,十六进制的相互转换关系和各自的概念,以及......

    数字逻辑教学大纲

    数字逻辑教学大纲课程主任:执笔人: 吕强开课单位:信息工程学院编写日期: 2008-2课程编码:课程中文名称: 数字逻辑课程英文名称: Digital Logic 课程类别:专业基础课 开课对象: 软件......

    数字逻辑(精选5篇)

    数字逻辑复习 一.选择题 1.将逻辑表达式“ ”化简为逻辑表达式“A”,需使用公式化简法中的。 A、并项法B、吸收法C、消去法D、配项消去法 2.逻辑代数中的三种最基本的逻辑运算是......

    数字逻辑心得体会

    数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综......

    数字逻辑数字抢答器

    《数字逻辑》课程设计 实验报告 学号:姓名:班级: 指导老师: 一、简要说明 在进行智力竞赛抢答时,需要参赛者分成若干组进行抢答,究竟是谁先谁后单凭主持人的眼睛是很难判断的;在......

    数字逻辑感想

    数字逻辑实验感想 本学期我们开设了数字逻辑实验课,在实验课中,我学到了很多在平时的学习中学习不到的东西。为期六周的的实验就要画上一个圆满的句号了,回顾这六周的学习,感觉......

    数字逻辑功能(范文大全)

    数字逻辑功能 可编程器件按集成度来区分刃分为简单PLD(LPLD)和复杂PLD(cPu)。最早的可编程逻 辑器件是熔丝编程的只读存贮器PRoM,由于结构的限制,只能完成简单的数字逻辑功能......