8路抢答器论文(没图)

时间:2019-05-11 22:39:50下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《8路抢答器论文(没图)》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《8路抢答器论文(没图)》。

第一篇:8路抢答器论文(没图)

8路抢答器论文

简易抢答器

1、设计目的

抢答器电路设计方案很多,有用专用芯片设计的、有用复杂可编程逻辑电路设计的、有用单片机设计制作的、也有用可编程控制器完成的,但由于专用电路芯片通常是厂家特殊设计开发的,一般不易买到或价格较高,用其它方式设计的需要设计者具有相应的理论知识,并要通过仿真器、应用软件、计算机等辅助设备才能验证完成,不利于设计者的设计和制作。而有些实际竞赛的场合,只要满足显示抢答有效和有效组别即可,故我打算不用所给的参考电路,而用一片74LS373(8位的数据锁存器)来实现此简易抢答器的功能。这是一个显示方式简单、价格低廉、经济实用的抢答器。在要求不高的场合,能完全符合需要。

2、设计要求

(1)、抢答器分为8组,每组序号分别为1、2、3、4、5、6、7、8,按键SB0-SB7分别对应8组,抢答者按动本组按键,组号立即在LED显示器上显示,同时封锁其他组的按键信号。(2)、系统外设清除键,按动清除键,LED显示器自动清零灭灯。

(3)、数字抢答器定时为30s,通过控制键启动抢答器后,要求30s定时器开始工作,发光二级管点亮。

(4)、抢答者在30s内进行抢答,则抢答有效,如果30s定时到时,无抢答者,则本次抢答无效,系统短暂报警。(5)、抢答者违规显示。

3、设计原理

3.1 抢答器总体原理框图

如图1所示为总体原理框图。其工作原理为:接通电源后,主持人将开关FW拨到“清零”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始“状态,宣布”开始“抢答器工作。定时器开始倒计时。选手在规定的30s定时时间内抢答时,抢答器完成:优先判断、编号显示、扬声器提示,倒计时显示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作”清除“和”开始"状态开关。图1 抢答器原理框图 3.2 单元电路设计 3.2.1 抢答器电路

设计电路如图2所示。电路选用1片8位数据锁存器74LS373,8只组别按键开关KEY_1—KEY_8,8组别抢答有效的状态显示发光二极管LED_1—LED8,一个复位按键FW等组成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,对应的LED亮;二是禁止其他选手按键,其按键操作无效。图2 抢答器电路图

表1为74LS373的逻辑状态表。

图3为MOTOROLA公司的74LS373的封装形式。表1 74LS373逻辑状态表 图3 74LS373的封装形式 工作过程:

该8路竞赛抢答器,每组受控于一个抢答按键开关,高电平表示抢答有效;

设置主持人控制FW用于控制整个系统清0和抢答有效开始控制的启动。每按下一次复位键FW时,使8D锁存器的控制端G为高电平,若组别按键开关KEY_1—KEY_8中任何一个都没按下,即对应8D锁存器的输入端D均为低电平,则此时8个输出端均为低电平,对应的发光二极管均不点亮,表示抢答者正在准备状态;

按下复位键FW时,8D锁存器的控制端G为高电平,若组别按键开关KEY_1—KEY_8中存在一个或几个处于按下状态,即与之对应的8D锁存器的输入端D为高电平,此时与之对应的8D锁存器的输出端立即为高电平,对应的发光二极管被点亮,表示抢答者违规了; 只有每按下一次复位键FW,并在复位键FW抬起后,抢答才是有效的。

系统具有第一抢答信号鉴别何锁存的功能。在主持人将系统复位并使抢答有效开始后,第一抢答者按下抢答按钮,对应的输入引脚接高电平1。或门电路使三极管VT1基极得到高电位,将8D锁存器的输入信号锁存在了输入端,输入端的信号变化将不再影响输出端。对应点亮的发光二极管指示出第一抢答者的组别。

在显示有效的组别的同时,也可同时采用蜂鸣器警示。3.2.2 30s定时电路

在30秒内,首先按动序号开关的组号立即被锁存到LED显示器上,与此同时,8D锁存器禁止工作,封锁其他组的按键信号。若定时时间30秒已到而无抢答者,锁定编码器,抢答按键信号无效,同时定时器输出信号,是报警电路发出短暂报警信号,说明本次抢答无效,发光二极管熄灭。

30秒定时电路采用图4所示电路,图中当主持人按下开关时,为30秒计数器送入置数信号,计数器完成置数。当释放开关后,计数器进行递减计数,当计数器为零时,封锁秒脉冲,计数器停止计数,并且封锁74LS373 是按键信号不能再进入,使抢答无效。图4 定时电路

我又输出了两路BO信号,分别为两个74LS192的借位脉冲信号。通过仿真来观察进位脉冲,以便于外围电路的扩展。

30秒定时电路使用的元件:74LS192、与非门74LS00、开关。如下所示:表2为74LS192逻辑状态表(功能表)。74LS192是十进制同步加法/减法计数器。表2 74LS192逻辑状态表 3.2.3 秒脉冲产生电路

秒脉冲发生器需要产生一定精度和幅度的矩形波信号。实现这样矩形波的方法很多,可以由非门和石英振荡器构成,可以由单稳态电路构成,可以由施密特触发器构成,也可以由555电路构成等等。

不同的的电路对矩形波频率的精度要求不同,由此可以选用不同电路结构的脉冲信号发生器。本设计中由于秒脉冲信号作为计时器的计时脉冲,其精度直接影响计数器的精度,因此要求秒脉冲信号有比较高的精度。一般情况下,要作出一个精度比较高、频率很低的振荡器有一定的难度,工程上解决这一问题的办法是先做一个频率比较高的矩形波振荡器,然后将其输出信号通过计数器进行多极分频,就可以得到频率比较低、精度比较高的脉冲信号发生器,其精度取决于振荡器的精度和分频级数。按照这样的思路设计出图5所示的秒脉冲信号发生器。

图5 秒脉冲信号发生器 3.2.4 报警电路

报警电路采用如图6所示电路,由555定时器和三极管构成。其中555构成多谐振荡器,振荡频率fo=1。43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。图6 报警电路

4、设计步骤

4.1用Quartus II v7.1软件仿真 4.1.1抢答器部分仿真

用Quartus II v7.1先创建一个工程文件,在工程文件下建立一个原理图文件,取名为qiang.bdf。画出抢答器部分原理图如图7所示。将电阻、三极管等模拟元器件按其逻辑关系转换为逻辑门电路在Quartus II中实现。图7 抢答器原理图

从Processing/Start Compilation进行编译,如图8所示编译成功。编译完成显示30 warmings,30个警告可以忽略,继续下一步骤。图8 抢答器原理图编译成功

通过原理图编译后,建立波形文件,装载入输入/输出信息并设置输入信号,对各INPUT输入端输入相应的信号,进行仿真Processing/Start Simulation,如图9所示抢答器波形图仿真通过。

图9 抢答器波形图仿真成功 抢答仿真结果如图10所示: 图10 抢答器功能仿真

【说明】仿真抢答过程,假设由任一组别先按下抢答键,其余几组分别在之后任一时刻按下。KEY_1在第一时刻输入高电平“1”表示1组先按下,其余各组分别在之后抢答。由仿真结果可以看出LED_1一直保持高电平“1”不变,表示1组别对应的LED灯点亮,获得抢答权。

由此可见,此电路实现了抢答的基本功能。4.1.2 30s定时电路部分仿真

用Quartus II v7.1软件以同样的方法,画出30s定时电路如图11所示: 图11 30s定时电路

同样,经过原理图编译、仿真,如图12所示:

图12 定时电路编译 图13 定时电路仿真 定时电路仿真结果如下图14所示: 图14 定时电路仿真 【说明】

此电路主要芯片为2片74LS192,是十进制同步加法/减法计数器,所以需要同步时序脉冲的控制,所以输入为1Hz的秒脉冲,以及主持人控制开关FW输入为高电平“1”,使定时电路计数有效,观察输出信号H、L信号(已经大包),分别为高位的4位输出、低位的4位输出,打包成16进制输出,我们可以从仿真电路图中直接看出结果: 30-29-28-27-26„„„„„„„„„„„„01、00 并且高位借位信号BO1从高电平“1” 低电平“0”,实现了30s定时的功能,输出的借位脉冲可以给报警电路,使蜂鸣器报警。4.2用Multisim 10软件仿真 图15 抢答器原理图仿真

从仿真图中可以直观地看出当2号组别键按下时,对应的2号LED发光,再当主持人按下复位键J1时,LED灯熄灭,当复位键弹起时,即可以开始抢答。4.3 用Protel 99se画抢答器部分原理图

如图16所示为用Protel 99se软件画出了抢答器部分电路的原理图。图17为抢答器电路板 图16 Protel 99se原理图 图16 抢答器电路板

5、设计总结

此简易抢答器的设计通过Quartus II和Multisim 10软件的仿真,证实了其在实际中的运用的正确性和可靠性。完全可以实现任务的要求。并且还有一个独特的功能,就是能够识别出违规抢答的组别,增加了其实用性。

6、心得体会

通过这次课程设计,我学到了很多书本上没有的实际的知识,熟悉了一些元器件、芯片在工程中的灵活运用。在设计及制作过程中,遇到过一些困难。通过上网和去图书馆查资料解决之。并且在网上搜集到了一些元器件及电路的相关资料对以后的学习及工作是很有帮助的。最重要的是我学会了自学的方法,这将使我今后离开学校,踏上社会是相当有帮助的。其次是进一步熟练地掌握和运用了相关的专业软件,提高了我们自身的专业素质。这也是我们工科学生所必须掌握的基础技能。同时也深深的体会到,我们书本上所学的知识和实际的东西相差甚远,我们所不懂的知识还有很多,因此今后我们要更加注重实际方面的锻炼和运用。

在设计之前,参考了许多相关的资料,从网络上下载了原理图。在设计中又参考了以前讲过的四路抢答器的原理图,有了基本的思路。

但着手设计时,又出现了许多未预料到的问题,例如元件的选择:在选择编码器时,是采用普通编码器还是优先编码器。普通编码器中,任何时刻只允许输入一个编码信号,否则输出将发生混乱。所以选择了优先编码器。但是74LS系列中众多不同管脚的类型,选择哪个作编码器。经过查找,选择了8线-8线的74LS273,因为想用数字的形式显示抢答者的编号,所以选择了数码显示管,但数码显示管不能直接和74LS273相连,数码显示管需要由TTL或CMOS集成电路驱动,所以在TTL还是CMOS集成电路上又进行了比较和选择。最后选择了专用频道数显译码器CH233,用它将74LS273输出的二进制代码译成相对应的高、低信号,用其作为数码显示管的驱动信号,数码显示管显示出相对应的选手编号。在定时电路中,555定时器的类型也很多,根据设计需要选择了555定时器低电平触发。

在一些设计原理上也遇到了许多新问题。刚开始设计时,电路中并未采用单向可控硅VD,认为电信号给三极管直接触发音乐集成电路IC3就行了,后来才发现当电源接通后,无论有无人按按钮都会使音乐集成电路通电发出声响,所以就加上了单向可控硅,由它来触发音乐集成电路。在做在规定时间内抢答有效时,经同学指证,发现三极管T1、T2的额定电压小,所以串接电阻R16,R15分压后导通。而时间继电器的KT的使用是在发现开始抢答提示喇叭B1会一直响不停时,决定采用短去B1的方法,但何时短去,为避免手动采用了1S延时的时间继电器,由它的常开触点所在支路来短去B1,实现短时提示。

通过这次八路抢答器的设计,我发现了以往学习中的许多不足,也让我掌握了以往许多掌握的不太牢的知识,感觉学到了很多东西。

毕业设计不仅是对前面所学知识的一种检验,而且也是对自己能力的一种提高。下面我对整个毕业设计的过程做一下简单的总结。

第一,接到任务以后进行选题。选题是毕业设计的开端,选择恰当的、感兴趣的题目,这对于整个毕业设计是否能够顺利进行关系极大。好比走路,这开始的第一步是具有决定意义的,第一步迈向何方,需要慎重考虑。否则,就可能走许多弯路、费许多周折,甚至南辕北辙,难以到达目的地。因此,选;题时一定要考虑好了。

第二,题目确定后就是找资料了。查资料是做毕业设计的前期准备工作,好的开端就相当于成功了一半,到图书馆、书店、资料室去虽说是比较原始的方式,但也有可取之处的。总之,不管通过哪种方式查的资料都是有利用价值的,要一一记录下来以备后用。第三,通过上面的过程,已经积累了不少资料,对所选的题目也大概有了一些了解,这一步就是在这样一个基础上,综合已有的资料来更透彻的分析题目。

第四,有了研究方向,就应该动手实现了。其实以前的三步都是为这一步作的铺垫。

通过这次设计,我对数字电路设计中的逻辑关系等有了一定的认识,对以前学的数字电路又有了一定的新认识,温习了以前学的知识,就像人们常说的温故而知新嘛,但在设计的过程中,遇到了很多的问题,有一些知识都已经不太清楚了,但是通过一些资料又重新的温习了一下数字电路部分的内容。在这次毕业设计中也使我们的同学关系更进一步了,同学之间互相帮助,有什么不懂的大家在一起商量,听听不同的看法对我们更好的理解知识,所以在这里非常感谢帮助我的同学。

在此要感谢我的指导老师,感谢老师给我这样的机会锻炼。在整个毕业设计过程中我懂得了许多东西,也培养了我独立工作的能力,树立了对自己工作能力的信心,相信会对今后的学习工作生活有非常重要的影响。而且大大提高了动手的能力,使我充分体会到了在创造过程中的探索的艰难和成功的喜悦。虽然这个项目还不是很完善,但是在设计过程中所学到的东西是这次毕业设计的最大收获和财富,使我终身受益。

第二篇:路没有错美文(推荐)

去年暑假的时候,我去一著名风景区游玩。如丹的叶子,崔巍的山岭,惊险的蹦极,刺激的缆车……让我心旷神怡。趁兴致高涨时,我脱离了旅游团,一个人游览去了。

转着转着,不知不觉来到了一条小路前,这条路南北走向,和我刚走的路近乎一个丁字,两边都是一眼望不到头。身边没有一个过路人,我迷茫了,不知该向南走还是向北走。最后一咬牙选择了向北走。

一路走来,越走越觉得不对劲:路上一个人影儿都没有。我没有沿原路返回,而是一直走到尽头,才确定这是—条死路。两腿酸痛的我,找了一块石头,坐了下来。

不一会儿,一位穿着简朴正在散步模样的老人走到我的面前,关切地问:“小伙子,怎么坐在这啊?天快黑了。”我苦笑着说:“我走错路了。”老人听了,笑着说:“没错,路没错,只是方向错了,要出去你应该朝南走。”

旅游回来后,我一直在想老人的那番话。有时,路并没有错,只是方向错了,我们没有必要把路否定。其实,很多时候,问题并不在路,而在方向上。发现错误后及时把方向变一下,也许原先的死路就会变活。

第三篇:别人没想走的路故事

我认识一位小姑娘,她大学还没毕业就已经出版了一本书。她是学校文学社的成员,社里的很多文学爱好者都希望以后能出书成为作家,但到目前为止,做到的只有她一个。

不是她的写作能力比其他社员强很多,主要区别在于思考问题的方式不一样。其他人思考的是:我应该提高写作水平,等我很牛之后自然就能出書了。而她想的是:出版社到底是通过哪些渠道去寻找有潜力的作者的?我应该怎样做才能进入这些渠道并且被发现?

一旦找到了这个关键点,真正做到其实没有想象的那么难。现在国内很多出版社都通过简书、豆瓣和个人原创公众号等去挖掘新作者,只要你找到这些平台以及平台里有影响力的人,成功的概率就可以无限提升。

要想做成事情,正确的方式不是埋头苦干,而是先梳理清楚要达到目的所需要的资源、技能、人脉等,再想办法找到它们,有些可能花钱就能买到,有些可以通过朋友介绍,有些可以通过资源置换。

我做金融产品销售的时候,最佩服的一位同事叫老过。老过其实不老,比我大三岁,不过已经是国内顶尖的高端理财产品销售师。什么叫高端理财产品呢?购买的最低门槛都是300万起,这也意味着客户大多数都是企业家、大公司高管,平均年龄在50岁左右。

做过销售的都知道,同龄阶层的客户是最好做的,彼此隔阂小,共同话题多,容易打开局面。年龄小很多或者大很多,代沟就存在,做起工作来难度加倍。特别是高端客户,社会地位和个人财富都远超销售人员,想要取得他们的认可不是件容易的事情。

当时公司里做高端客户的大部分都是富二代或者官家子弟,唯有老过出身平平,结果他反而是公司的销售冠军。

一次,我向老过取经,他笑着说,自己成功最大的秘诀就是不讨好客户,而是努力挖掘共同点。“其实这群人也挺孤独的,因为身边的人要么是他的下属,要么有求于他,都很难对等交流。他们也有烦恼和痛苦,但连个可以倾诉的人都找不到。他们也有一些平凡的爱好,也找不到可以一起分享的人。”

老过几乎将自己的所有业余时间和工作收入都花在学习高尔夫、红酒、文玩等客户可能感兴趣的课题上,两年时间里硬生生将自己培养成高尔夫和品酒高手。

他和客户见面,几乎从来不聊产品,就是一起玩。玩得多了,一旦有什么产品发售,客户都会主动过来询问和支持。

“当然,该有的分寸感还是要有的,千万不能真的忘乎所以。比如对产品的分析梳理、重要日子的礼物问候,我从不落下,但也不刻意突出,一切以最自然的形式去做。”老过最后补充了一句。

第四篇:微机原理_多路抢答器设计论文

课程设计(论文)

课程名称:

微机原理与接口技术

目:

多路抢答器设计 院(系):

理学院

专业班级:电子信息科学与技术1301

名:

彭博

号:

131004033

指导教师:

张爱萍

2016 年 1 月 8 日 西安建筑科技大学课程设计(论文)

西安建筑科技大学课程设计(论文)任务书

专业班级:电子信息科学与技术1301 学生姓名: 彭博 指导教师(签名):

一、课程设计(论文)题目 多路抢答器设计

二、本次课程设计(论文)应达到的目的

通过本次课程设计,加深对微机原理基本知识的理解,逐步掌握Proteus的操作方法,并能仿真做出一个抢答器,从而进一步提高综合运用知识的能力,同时通过实习掌握书写课程设计报告书的步骤和方法。

三、本次课程设计(论文)任务的主要内容和要求(包括原始数据、技术参数、设计要求等)

1、熟悉Proteus软件和Emu8086软件,8086CPU及编程技巧;

2、熟悉8255A接口芯片,8253芯片及利用8255A芯片、8253芯片实现对外设控制的原理;

3、利用Proteus软件,以Intel 8086 CPU为核心,结合8255接口芯片设计抢答器仿真电路,在Emu8086软件环境下,编写程序,最终实现当抢答开始,若有选手按动按钮,在数码管上显示选手的编号,并且发生声响,直到按下复位按键,就可开始下一轮抢答。

四、应收集的资料及主要参考文献:

1、陈卫红《微型计算机基本原理与接口技术(第三版)》科学出版社 2015

2、刘云玲《微机原理与接口技术实验指导》清华大学出版社 2014

3、和宏《微机原理与接口技术——基于Proteus仿真的8086微机系统设计及应用》清华大学出版社 2015

4、邹逢兴《微机原理与接口技术经典实验案例集》高等教育出版社 2012

5、周景润《Proteus入门实用教程》机械工业出版社 2007

五、审核批准意见

教研室主任(签字)西安建筑科技大学课程设计(论文)

摘要

本次课程设计通过使用Intel8086 CPU控制,配合8255A可编程并行接口芯片、可编程计数器8253芯片与若干按钮开关以及数码管等来实现一个简易的多路抢答器的制作。这一设计利用了8255A芯片的输入与输出功能以及8253芯片的计时功能以及发声功能,通过汇编语言编程,使其具有抢答、显示、响声、复位的功能。

本次设计贴近生活,实用性高,判断精确,操作简单。它的功能实现是当比赛开始时,主持人读完题目即可按下开始运行电路的总开关,此时开始第一轮抢答,同时有7个选手进行抢答,第一个按下开关的选手的编号将会显示在数码管上,并且在抢答到的同时会有声响提示,其余选手再按下开关将会无效,此时只有通过按下按钮8才能重置数码管显示为零,开始下一轮抢答。

本设计使用Proteus仿真软件以及Emu8086汇编软件进行设计,不需要进行实际电路的安装,只需要在一台安装有Proteus以及Emu8086的计算机上进行仿真设计以及调试即可。具有线路简单、结构紧凑、性能优越等特点。

关键词:抢答器,8086,8255A,8253,Proteus西安建筑科技大学课程设计(论文)

Abstract

This experiment by using Intel8086 CPU control, with 8255 a programmable parallel interface chip and several programmable counter 8253 chip and push button switch and digital tube and so on to achieve a simple multiplex buzzer.This design using the input and output functions of the 8255 a chip and 8253 chip timing function and voice function, through the assembly language programming, make its have vies to answer first, display, sound and reset function.The design of press close to life, high practicality, judgement precision, simple operation.The realization of the function of the it is when the game starts, the host read subject to press start running circuit without the master switch, at this time to start the first round of the contest, at the same time, there are seven contestant vies to answer first, first press the switch of the contestant's serial number will be displayed on the digital tube, and at the same time of vies to answer first to have sound prompt, the rest of the players to press the switch will be invalid, this time can only be reset by pressing the button 8 digital tube display is zero, begin the next round of vies to answer first.This design uses Proteus simulation software and Emu8086 assembly software to carry on the design, do not need to undertake the installation of the actual circuit, only need a 7-day with Proteus and Emu8086 computer simulation design and debugging.Has the circuit simple, compact structure, superior performance, etc.Key words: buzzer, 8086, 8255A, 8253, Proteus

西安建筑科技大学课程设计(论文)

目录 绪论.................................................1 1.1 设计介绍.......................................1 1.2 Proteus仿真软件介绍...........................1 1.3 抢答器介绍.....................................2 1.3.1 抢答器主要功能...........................2 1.3.2 抢答器主要组成...........................2 1.3.3 抢答器的工作原理.........................2 2 硬件设计原理.........................................3 2.1 电路图设计.....................................3 2.2 电路中各芯片介绍...............................4 2.2.1 Intel 8086 处理器........................4 2.2.2 可编程并行接口芯片8255A.................5 2.2.3 可编程计数/计时器8253芯片...............7 2.2.4 其他芯片.................................8 3 软件设计原理........................................10 3.1 流程图........................................10 3.2 程序..........................................11 4 系统仿真调试........................................14 4.1 运行程序开始抢答..............................14 4.2 选手按下按钮抢答..............................14 4.3主持人重置抢答器..............................15 5 心得体会............................................16 参考文献..............................................17 西安建筑科技大学课程设计(论文)绪论

1.1 设计介绍

本设计是基于Intel 8086处理器进行设计的抢答器装置,通过处理器以及总线、数码管、一系列开关之间的配合,连接成为一个抢答器。本次设计由于条件所限,使用了Proteus仿真软件进行模拟,这样做的好处有:形式直观、成本低廉、方便调试、便于修改。

1.2 Proteus仿真软件介绍

Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(仿真软件)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,而我们在本次的课设中,用到的就是使用Proteus来仿真8086处理器。图1-1是Proteus软件界面。

图1-1 Proteus界面

第五篇:抢答器相关毕业设计,论文,毕业论文参考选题表

毕业论文参考选题表

1.竞赛抢答器设计(字数:7248,页数:1688)

2.PLC控制的抢答器设计(字数:7411,页数:35118)

3.智能抢答器设计(字数:8413,页数:2260)

4.基于Multism/protel的数字抢答器(字数:9531,页数:2668)

5.组态控制抢答器系统设计(字数:13109,页数:33128)

6.智力竞赛抢答器(字数:6823,页数:2768)

7.知识竞赛抢答器PLC设计(字数:22085,页数:49108)

8.八路智能抢答器设计(字数:8415,页数:2260)

9.基于单片机的6路抢答器设计(字数:10210,页数:29108)

10.数码显示抢答器设计(字数:11744,页数:2790)

11.三菱PLC控制的四路抢答器(字数:12575,页数:4788)

12.智力竞赛抢答器PLC设计(字数:10161,页数:2568)

13.大型抢答器设计(字数:5730,页数:2179)

14.六路抢答器设计(字数:7168,页数:1768)

15.多路智力抢答器设计(字数:11398.页数:33108)

16.100路数字抢答器设计(字数:7357,页数:27108)

17.四路数字抢答器设计(字数:5226,页数:1450)

18.基于单片机的八路抢答器设计(字数:6592,页数:2398)

19.基于EDA技术的智力竞赛抢答器的设计(字数:15131,页数:35

20.基于FPGA的18路智力竞赛电子抢答器设计(字数:14230,页数:46

148)168)

下载8路抢答器论文(没图)word格式文档
下载8路抢答器论文(没图).doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    不能你没有的论文

    浅谈研究性学习在初中语文教学中的应用553600贵州省六盘水市水城县坪寨乡坪寨中学袁兰英 研究性学习,是学生在教师的指导下,充分发挥自主精神,主动探究的学习活动。它的一样在......

    输电线路工程论文

    输电线路工程论文 班级:20094053 1.1高压输电线路面临的问题 随着我国经济快速发展,对能源的需求越来越大,由此带动了500KV超高压大容量电力线路的大幅扩建,特别是2005年6月北戴......

    哲理故事:没有比脚更长的路

    哲理故事:没有比脚更长的路 那是一支24人组成的探险队,到亚马逊河上游的原始森林去探险。由于热带雨林的特殊气候,许多人因身体严重不适应等原因,相继与探险队失去了联系。 直到......

    人民日报评论部:没有比脚更长的路

    人民日报评论部:没有比脚更长的路 十八届三中全会再次表明改革开放的信心无可动摇、步伐无可阻挡,再次向世界宣示:共产党人的激情与梦想长存,决心和勇气仍在 英国《卫报》不久前......

    《没走过的是路走过的才是人生》读后感

    可以说我是看着德叔的这本书一部分一部分的被创作出来的,两个月的时间,一遍遍的读,一遍遍的感受,我想,再没有一本书我是如此认真仔细地、一个字一个字咀嚼着读下来的。因此,在得知......

    关于没有比脚更长的路励志故事

    那是一支24人组成的探险队,到亚马逊河上游的原始森林去探险。由于热带雨林的特殊气候,许多人因身体严重不适应等原因,相继与探险队失去了联系。直到两个月以后,才彻底搞清了这支......

    放飞创业梦想,路就在脚下[图]

    加盟项目 baidu.hao315.cn qyue 放飞创业梦想,路就在脚下[图] 创业不能盲目,仅有热情是不够的,经验和阅历必不可少,一定要因地制宜,选择好项目。 受访嘉宾: 孙波:市劳动和社会保......

    洛神赋图美术鉴赏论文

    《洛神赋图》美术鉴赏 《洛神赋图》是中国十大传世名画之一。东晋著名画家顾恺之绘制(宋摹),绢本,设色,纵27.1cm,横572.8cm,现存北京故宫博物院等多处,大多为宋代摹本,相传是根据曹......