彩灯设计报告

时间:2019-05-13 00:25:47下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《彩灯设计报告》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《彩灯设计报告》。

第一篇:彩灯设计报告

摘要:

随着电子技术的迅速发展,单片机得到了越来越多的应用。本设计用单片机STC89C52结合发光二极管制作了一种新型的彩灯控制系统的设计方法,以STC89C52 单片机作为主控核心,与复位按钮等较少的辅助硬件电路相结合,利用软件实现对彩灯进行控制。本系统具有体积小、硬件少、电路结构简单及容易操作等优点。

关键字:发光二极管;STC89C52单片机;彩灯控制器;模块设计

目录

引言.....................................................3 第一章 设计要求及任务目的..............................4 1.1.设计要求..........................................4 1.2.任务目的:........................................4 第二章.设计内容及总体方案................................4 2.1.STC89C52单片机....................................4 2.1.1:芯片介绍....................................4 2.1.2.主要特性:..................................5 2.1.3.管脚说明....................................6 第三章.硬件设计..........................................7 3.1AT89C51单片机原理说明..............................7 3.2模块设计.........................................10 3.2.1主控模块电路设计.............................10 3.2.2管内板模块设计...............................10 第四章软件设计..........................................11 4.1设计程序流程图....................................11 4.2主程序代码.......................................13 第五章上机调试运行结果及分析............................21 5.1.硬件调试.........................................21 5.2.软件调试.........................................22 参考文献................................................24

引言

随着人们生活水平的提高,环境的不断改善和美化,在许多场合可以看到很多彩色的霓虹灯。特别是当今充满竞争的时代,各地政府为吸引游客和投资者,在城市的沿街、沿道、沿河、沿线等地用霓虹灯造景,实施“亮化工程”,以美化环境、树立城市形象。

但是目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,电路结构复杂、功能单一,这样一旦制成成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。同时这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能上来看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。

本设计提出了一种基于stc89c52单片机的彩灯控制方案,以实现对彩灯的控制。本方案以stc89c52单片机作为控制核心,与键盘、显示、驱动等模块组成核心控制模块。在核心控模块里设计3个按钮和8个发光二极管,根据需要可以编写若干种亮灯模式,根据各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜色的灯亮或灭。

该彩灯实际应用效果较好,亮灯模式多,用户可以根据不同场合和时间来调节,与普通LED彩灯相比,具有体积小,价格低,耗能低等优点。第一章 设计要求及任务目的

1.1设计要求

1.1.1:在主控模块上设有4个按键和8个发光二极管,根据需要使其灯亮 1.1.2 利用软件控制彩灯亮或灭,实现至少四种亮灭效果,不包含全亮或全灭,可用按钮转换自动控制,手动控制

1.2.任务目的:

1.2.1 熟悉相关数字芯片的功能和使用方法

1.2.2通过实验掌握显示的接口电路及程序设计,熟悉各种操作指令,子程序的调用以及中断知识和计数器的计数。

第二章.设计内容及总体方案

2.1 STC89C52单片机

2.1.1:芯片介绍

STC89C52是一种低功耗、高性能CMOS8位微控制器,具有 8K 在系统可编程Flash 存储器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得STC89C52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。具有以下标准功能: 8k字节Flash,512字节RAM,32 位I/O 口线,看门狗定时器,内置4KB EEPROM,MAX810复位电路,三个16 位 定时器/计数器,一个6向量2级中断结构,全双工串行口。另外 STC89X52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU 停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。最高运作频率35Mhz,6T/12T可选。

2.1.2.主要特性:

·8K字节程序存储空间;

·512字节数据存储空间;·内带4K字节EEPROM存储空间;·可直接使用串口下载;·MAX810复位电路;·三个16 位 定时器/计数;·32 位I/O 口线;·一个6向量2级中断结构;

2.1.3.管脚说明

2.1.3 STC89C52引脚路

VCC:供电电压。

GND:接地。

P0口:P0口为一个8位漏级开路双向I/O口

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P2口:P2口为一个内部上拉电阻的8位双向I/O口,P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。P3口也可作为AT89C51的一些特殊功能口,P3口同时为闪烁编程和编程校验接收一些控制信号。

RST:复位输入。

/PSEN:外部程序存储器的选通信号。

XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。

XTAL2:来自反向振荡器的输出。

XTAL1和XTAL2分别为反向放大器的输入和输出。

第三章.硬件设计

3.1 STC89C52单片机原理说明

彩灯分为2部分,即彩灯控制器(主控模块)和管内模块(受控模块)。彩灯控制器可直接与220 V交流市电相连接,经过开关电源变换,输出直流工作电压,一方面为管内模块提供12 V工作电源,另一方面为主控模块单片机系统(彩灯控制器)提供5 V工作电源。整个系统工作由软件程序控制运行,根据需要,用户可以在彩灯工作时通过主控模块上的按键来控制亮灯时间和灯光闪动频率。

上电后系统经过初始化,查询是否有功能切换键按下:有,则进入用户设定模式状态;无,则进入默认缺省工作状态。在用户设定模式状态下,用户可以根据个人爱好及不同场合的需要来指定调用哪些模式,并且可以改变每种模式的时间Ti、频率Fi参数,如果用户想进入缺省状态模式,只需按一下功能切换键即可跳入缺省模式,程序会自动顺序调用亮灯模式;在缺省工作状态下,LED彩灯控制器按照程序设定好的若干亮灯花样模式程序Model_i顺序调用往下走,从第Model_1模式开始工作,自Model_1到Model_2……到Mod el_n为一个亮灯周期,然后再回到Model_1循环继续工作,同样如果想进入用户设定模式状态,只需按下功能切换键即可。整个n种亮灯模式时间可以看作一个大周期T,其中的每一种花样工作模式Model_i(i=1,2,…,n)时间为小周期Ti,对于每一个模式编写一个独立工作子程序Model_i,其中设定了LED三色灯(红、绿、蓝)的点亮时刻(RED_on,GREEN_on,BLUE_on)和熄灭时刻(RED_off,GREEN_off,BLU E_off),以及模式工作时间Ti以及该模式LED闪烁频率Fi。5位七段码显示器的前2位(L1,L2)显示当前工作模式的序号Model_i;后3位(L3,L4,L5)七段码 显示三色LED的工作状态,若该颜色灯点亮则对应七段码显示位为“1”,反之熄灭时则显示位为“灭”即不显示,对系统工作状态起到了很好的实时监控作用。因此在彩灯上电工作后,用户可以方便地通过主控模块上的显示器知道彩灯当前工作模式Model_i,工作时间Ti,频率Fi等实时参数。若实际应用需要根据不同场合和时间来改变彩灯闪亮效果,用户可以通过主控模块上的按键来设定不同的闪烁频率Fi和亮灯时间Ti,以便符合实际需要。此外如果用户对某一种模式感兴趣需要仔细观看该种亮灯模式,可以通过键盘选定任意选定

STC89C52单片机原理图

3.2模块设计

3.2.1主控模块电路设计

主控模块电路,主要设计器件有STC89C52,8个发光二极管,3个按键,1个信号输出驱动模块芯片(MC4049)等。通过软件设计,使单片机P0口作为驱动信号输出口及移位时钟CLOCK信号,P3口为按键输入口,P2口、P1口相接作为显示器的输出口。

3.2.2管内板模块设计

管内板模块电路。管内板模块设计主要器件有LED彩灯(红、绿、蓝)、移位触发模块芯片CD4076等。根据实际应用彩灯长度需要,可将不同数量的该管内模块实现级连,组成一个完整的彩灯。考虑到功率损耗,板模块之间接口处用信号正向驱动模块芯片MC4049连接。每个板模块上均匀分布彩灯,在实际制作PCB时采用红、绿、蓝3色互隔焊接方式,在电路板上把发光二极管按顺序依次均匀焊在板上成一个v 字。为了得到更多的花样模式效果,可以使2种灯从前往后驱动点亮闪烁,灯从后往前驱动点亮闪烁,这样具有很好的动感视觉效果。

第四章软件设计

4.1设计程序流程图

新型彩灯控制器最大特点在于所有亮灯模式均由软件控制完成。系统中软件可以分为主程序和中断服务子程序。上电后在缺省状态以顺序调用Model_i花样亮灯模式流程为主程序,以一个单位时间5 ms的T0定时为中断服务子程序。在这个5 ms的T0定时基础上,可以根据需要来确定各种模式工作时间Ti,以及确定在各种亮灯模式Mode l_i内点亮和熄灭各种颜色LED灯的时刻:Red_on,Red_off,Green_on,Green_off,Blue_on,blue_off以及Clock(移位翻转脉冲)等。整个系统软件由主程序(Main)、各个模式子程序(Model_i)、5 ms中断服务子程序(T0 Interrupt)、键盘扫描处理子程序(Key Board)、显示子程序(Display)等程序组成。利用T0定时器作为定时基本单位,根据模式需要计算好各控制信号的发生时刻,根据不同的模式Mo del_i可以设定不同的工作时间Ti和脉冲翻转频率Fi通过P0口输出,使各色L ED灯的驱动时刻与移位触发的翻转时刻步调一致,使LED彩灯按照设计的模式工作。

除了T0定时中断之外,程序的大部份时间是在处理按键的查询和LED显示的延时。8个按键分别为:4个参数按键(Fi增、减按键,Ti增、减按键),3个模式改变按键(模式上翻UP、模式下翻DOWN、模式保持KEEP),1个功能切换按键。在每次的T0定时中断服务子程序里,需要对各个时间寄存器和模式寄存器进行加1或者清,为主程序查询作准备,同时查询是否已中断6次(30 ms),若30 ms到了,则对参数按键查询一次,是否有时间Ti频率Fi增减键按下并进行相应子程序处理。

主程序除了调用各种子模式子程序(Model_i),调用LED显示子程序(Display)和延时子程序(Delay)之外,还一直保持查询是否有功能切键按下以及是否有模式改变按键按下,一旦有功能切换键和模式改变键按下,就会进入相应的按键处理。 主程序流程如图3所示。亮灯模式子程序Model_i可以编写若干(n种),只要控制好各色灯触发和熄灭时刻就可以组合成各种亮灯效果。Model_i程序流程如图4所示。

4.2主程序代码

#include #define uint unsigned int #define uchar unsigned char sbit zd=P1^0;sbit sd=P1^1’ void delay_ms(uint a){

uint I;

while(a--!=0)

{

For(i=0;i<600;i++);

} } Void cail(){

P0=0x00;

delay_ms(100);

P0=0xff;

delay_ms(100);

P2=0x00;

delay_ms(100);

P2=0xff;

delay_ms(100);} void cai2(){

P0=P2=0x00

delay_ms(100);

P0=P2=0xff;

delay_ms(100);} void cai3(){

uchar n;

P0=0xfe;

for(n=0;n<8;n++)

{

P0<<=1;

P0|=0x01;

delay_ms(100);

}

P2=0xfe;

for(n=0;n<8;n++)

{

} void cai4(){

P2<<=1;

P2|=0x01;

delay_ms(100);

} uchar n;P2=P0=0xfe;for(n=0;n<8;n++){

P0=P2<<=1;

P0=P2|=0x01;

delay_ms(100);

} } void main(){

uchar a,b,c;a=c=1;b=0;

if(zd==0)

{

delay_ms(30);

if(zd==0)

{

while(a)

{

cai1();

delay_ms(100);

}

}

cai2();

delay_ms(100);

cai3();

delay_ms(100);

cai4();

delay_ms(100);

if(sd==0)

{

a=0;

}

} if(sd==0){

delay_ms(30);

if(sd==0)

{

b=1;

while(c)

{

switch(b)

case 1:cai1():break:

case 2:cai2():break:

case 3:cai3():break:

case 4:cai4():break:

case 5:b=1:break:

}

if(zd==0)

{

} }

}

} }

c=0;

第五章上机调试运行结果及分析

5.1.硬件调试

硬件调试可分为脱机调试与联机调试两步进行。脱机调试是在用户系统未工作时的一种硬件检测。

第一步:目测。检查外部的各种元件或者是电路是否有断点。目测结果:电路及各元件正常。

第二步是通电检查。通电后发现各个交通灯均能发光,证明电路线路无误。

联机调试:

将编好的程序写入单片机后进行调试。5.2.软件调试

通过PROTEUS仿真,我们的程序能够实现想要所有功能,包括显示到记时、交通灯循环点亮功能。

在仿真时,应注意一下几个方面的问题:

1.proteus仿真和用wave软件生成的hex文件应保存在同一个文件夹里,否则程序不能正常执行

2.在运行proteus仿真图之前,必须先装载文件,要不然程序也无法正常运行。

3.在proteus仿真过程中,硬件复位电路可能会不能实现复位功能,这对实际的硬件电路没有影响,需要复位时直接将proteus软件复位即可。

4.由于我们的软件运行时在电脑上进行的,所以程序的精确度和电脑有关,在有些运行比较慢或者在电脑运行程序比较多的时候,计时会不准确,这种情况应当考虑。

5.程序在刚启动或者在暂停后继续运行的时候,反应比较慢,计时不准,不知道此问题在实际电路中会不会出现。

结束语

通过对本次毕业论文的编写,使我明白应用主控模块输出的控制信号去控制灯管内的板模块工作,使得产品性能稳定,便以安装容易操作。由于控制程序存储在STC89C52单片机 的电可擦除Flash闪存EPROM中,如果用户需要更改系统的亮灯模式Model_i,无须改变系统硬件电路,只需修改其中程序即可,是一种很有发展前途的彩灯控制器。

通过编写论文,使我对单片机实现彩灯的原理及其使用问题有了更深刻的认识,是我从中体会到《单片机原理及技术应用》的课题的重要意义,值得我们探讨,使我更深的理解和学会综合运用单片机技术、模拟电子电路、数字电子电路、传感器,软件技术、计算机软件编程技术,设计彩灯。通过设计全面地培养与锻炼电子信息工程专业学生综合运用本专业知识分析问题和解决问题的能力。

参考文献

1.杨志忠主编,卫桦林编,《单片机应用技术》 第二版 北京,高等教育出版社,2003 2.胡宴如主编,耿苏燕编,《单片机应用技术》第三版 高等教育出版社 2003 3叶致诚,唐冠中,《单片机应用技术》北京:高等教育出版社,1995年 4.张秀娟,陈新华主编。《单片机应用技术》

机械工业出版社。2008

第二篇:基于FPGA的彩灯控制器设计

郑州轻工业学院

可编程数字系统设计

题 目 学生姓名 专业班级 学 号 院(系)指导教师 完成时间

基于FPGA的彩灯控制器的设计 张焕焕 电子信息工程10-2班 电气信息工程学院

基于FPGA的彩灯控制器设计

摘 要

随着科技的发展 , 在现代生活中, 彩灯作为一种景观应用越来越多。而在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,VHDL语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文介绍了基于EDA技的多路彩灯控制器的设计与分析。在MAX+PLUSII环境下采用VHDL语言实现,论述了基于VHDL语言和FPGA芯片的数字系统设计思想和实现过程。电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件使得EDA技术的应用走向普及。FPGA是新型的可编程逻辑器件,采用FPGA进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。

关键词: VHDL 彩灯 仿真

1、概述

1.1、设计目的:

设计一个彩灯控制器,使彩灯(LED管)可以实现四种花样循环变化及两种不同频率的闪烁,有复位开关。整个系统有七个输入信号CLK,CLR,A,B,C,D,OPT,六个输出信号控制六个彩灯。OPT的高低电平控制彩灯闪烁的快慢,A,B,C,D控制各种不同花样彩灯的变换。此彩灯控制系统设定有四种花样变化。四种花样分别为:a.彩灯从左到右逐次亮起,再逐次从右到左熄灭;b.彩灯两边同时亮两个,再逐次向中间亮;c.彩灯从左到右两个两个的亮,再从右到左两个两个逐次的亮;d.彩灯中间两个亮,再同时向两边散开。

1.2、设计要求:

 掌握FPGA 的程序设计方法。 掌握硬件描述语言语法。

 程序设计完成后要求在软件中实现功能仿真。

2、系统设计

2.1、设计原理:

整个系统共有七个输入信号:基准时钟信号CLK,系统清零信号(复位信号)CLR,彩灯节奏快慢选择开关OPT;共有4个输出信号Q[3..0],分别用于控制四路彩灯。据此,我们可将整个彩灯控制器CDKZQ分为四大部分:彩灯花样控制部分、分频部分、定时部分和计数部分。当各个模块均完成上述操作之后,形成最后的四路彩灯显示系统,并且进行仿真。仿真通过,即可下载到指定的FPGA芯片里面,并进行实际连线,进行最后的硬件测试。把分频部分的不同频率的时钟信号CP输送到计数部分 ,从而控制彩灯闪烁速度的快慢 ,定时部分控制每种速度维持的时间长短。

图表 1 2.

2、设计方案:

在电路中以 1 代表灯亮,以 0 代表灯灭,由 0、1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,从而实现多种图案多种频率的花样功能显示。在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。下面就以一个四路彩灯控制系统的实现为例进行简单说明。此四路彩灯控制系统设定有四种花样变化。彩灯图案状态变换的速度有快、慢两种。

3、程序仿真过程

start compilation先编译

generate functional simulation netlist然后生成功能仿真网络表 assignments–setting—simulation setting设置成功能仿真 然后新建一个vector waveform file 通过view—utility windows--node finder 把输入输出管脚添加进波形仿真图里 点击start simulation 得到仿真图WAVEFORM1:

由图可见:正常情况下 灯按照花样abcd的顺序循环d在80NS---120NS的高电平使得花样变为D花样,D高电平结束,灯顺序进行A花样。

OPT 在80NS---170NS高电平使得灯变换速度提高2倍 但是001100和100000这两种灯的状态任然占用了两个时钟周期,这是因为花样d和花样a状态转换之间没有立即衔接,导致220NS处CLR高电平使得灯全部清0,不再变化DIV2是二分频输出,由图可见是输入时钟CLK的二分频

4、实验结果分析

实验表明 ,此设计方法能够满足多种不同花样彩灯的变化要求 ,并且该方法便于扩展不同变化模式的彩灯花样,同时又实现了彩灯的两种不同频率的闪烁。如果不按任何按键时候,灯就按四种花样顺序循环跑,如果按下某个键再松开,比如按下C键然后松开,那么不管之前灯跑到哪个花样,按键后灯就会从C代表的花样处开始跑,然后是D花样,然后是A花样,依次循环。但是A,B,C,D认为是按下会弹起的按键,因为这个程序里,如果一直按着按键不松手,会导致灯只是反复跑一个花样。比如按着B键不松手,那么就会反复跑B花样。还有不能允许同时按下两个键,三个键,4个键,也就是说,同一时刻ABCD只能有一个是高电平,否则会出错,因为这个程序并没有防错机制。

5、心得体会

本次设计的课题是彩灯控制器的设计,当拿到这个课题的时候经过分析就知道关键是计数器和分频器的使用,分频的方法有很多种,对于同一种功能的实现,用VHDL可以采用多种方式进行描述,每种方式之间各有优劣,本次设计只采用了其中较简单的一种,应尽量用最简洁的语言写出所需功能的程序。

通过这次课程设计对EDA技术有了更进一步的熟悉,VHDL 语言和C语言等其他语言还是有很大的区别。VHDL是EDA技术的重要组成部分,其具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力。其主要的也是最大的优点就在于设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。在实际操作中发现设计和课本上的知识有很大联系,但又高于课本,一个简单的原理要把它应用以及和其他功能综合起来就有些困难。通过设计也巩固了我们的书本知识以及通过借阅书籍和上网查找资料,也丰富了自己对EDA的了解。

6、致谢

7、参考文献

[1] 周润景.基于QuartusⅡ的FPGA/CPLD数字系统设计实例[M].电子工业出版社.2007,8

[2] 潘松 黄继业.EDA技术实用教程(第二版).北京:科学出版社,2006.9 [3] 林明权 马维旻 VHDL数字控制系统设计范例.电子工业出版社2003,1 [4] 褚振勇.FPGA设计及应用(第三版)[M].西安电子科技大学出版社.2012,4

8、附录

彩灯控制器的源程序

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity horseracelamp is port(clk:in std_logic;clr:in std_logic;a:in std_logic;b:in std_logic;c:in std_logic;d:in std_logic;opt:in std_logic;q:out std_logic_vector(5 downto 0);div2:out std_logic);end;architecture one of horseracelamp is

type states is(s0,s1,s2,s3);

signal present:states;

signal q1:std_logic_vector(5 downto 0);

signal count:std_logic_vector(3 downto 0);

signal halfclk:std_logic_vector(1 downto 0);

signal clkin:std_logic_vector(1 downto 0);begin

process(clk)--------------------该进程实现二分频 begin if clk'event and clk='1' then

halfclk<=halfclk+1;end if;end process;

process(opt)---------------------该进程实现OPT高时候用原来的时钟,OPT低的时候用二分频后的时钟,信号CLKIN(0)会传递到下一个进程里

begin

if opt='0' then

clkin(0)<=halfclk(0);

else

clkin(0)<=clk;

end if;

end process;

process(clk,clr,a,b,c,d)

------------S1,S2,S3,S4分别对应

A,B,C,D四种花样,它们之间会自动按顺序循环,也

会因为A,B,C,D中某个置高而改变循环顺序,所以用了大量的IF ELSIF语句

begin if clr='1' then

present<=s0;

q1<=(others=>'0');elsif clkin(0)'event and clkin(0)='1' then

case present is

when s0=>if q1=“000000”then

q1<=“100000”;else

if count=“1100” then

count<=(others=>'0');q1<=“100001”;present<=s1;

elsif b='1'then

count<=(others=>'0');

q1<=“100001”;

present<=s1;

elsif c='1'then

count<=(others=>'0');

q1<=“110000”;

present<=s2;

elsif d='1'then count<=(others=>'0');

q1<=“001100”;

present<=s3;

else

case count is when “0000”=>q1<=“100000”;when “0001”=>q1<=“110000”;when “0010”=>q1<=“111000”;when “0011”=>q1<=“111100”;when “0100”=>q1<=“111110”;when “0101”=>q1<=“111111”;when “0110”=>q1<=“111110”;when “0111”=>q1<=“111100”;when “1000”=>q1<=“111000”;when “1001”=>q1<=“110000”;when “1010”=>q1<=“100000”;when “1011”=>q1<=“000000”;when others=>null;end case;

count<=count+1;present<=s0;

end if;end if;

when s1=>if count=“0011” then

count<=(others=>'0');q1<=“110000”;present<=s2;elsif a='1'then

count<=(others=>'0');

q1<=“100000”;

present<=s0;

elsif c='1'then

count<=(others=>'0');

q1<=“110000”;

present<=s2;

elsif d='1'then count<=(others=>'0');

q1<=“001100”;

present<=s3;

else

case count is when “0000”=>q1<=“100001”;when “0001”=>q1<=“110011”;when “0010”=>q1<=“111111”;when others=>null;end case;

count<=count+1;present<=s1;

end if;

when s2=>if count=“0110” then

count<=(others=>'0');q1<=“001100”;present<=s3;elsif a='1'then

count<=(others=>'0');

q1<=“100000”;

present<=s0;

elsif b='1'then

count<=(others=>'0');

q1<=“100001”;

present<=s1;

elsif d='1'then count<=(others=>'0');

q1<=“001100”;

present<=s3;

else

case count is

when “0000”=>q1<=“110000”;when “0001”=>q1<=“111100”;when “0010”=>q1<=“111111”;when “0011”=>q1<=“000011”;when “0100”=>q1<=“001100”;when “0101”=>q1<=“110000”;when others=>null;end case;

count<=count+1;present<=s2;

end if;

when s3=>if count=“0011” then

count<=(others=>'0');q1<=“100000”;present<=s0;elsif a='1'then

count<=(others=>'0');

q1<=“100000”;

present<=s0;

elsif b='1'then

count<=(others=>'0');

q1<=“100001”;

present<=s1;

elsif c='1'then count<=(others=>'0');

q1<=“110000”;

present<=s2;

else

case count is when “0000”=>q1<=“001100”;when “0001”=>q1<=“010010”;when “0010”=>q1<=“100001”;when others=>null;end case;

count<=count+1;present<=s3;

end if;

end case;

end if;

end process;

div2<=halfclk(0);

q<=q1;

end;

第三篇:EDA课程设计 多路彩灯设计

多路彩灯控制器的设计

O 引言

硬件描述语言(HDL)是相对于一般的计算机软件语言如C,Pascal而言的。HDL是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。设计者可以利用HDL程序来描述所希望的电路系统,规定其结构特征和电路的行为方式,然后利用综合器和适配器将此程序变成能控制FPGA和CPLD内部结构,并实现相应逻辑功能的门级或更底层的结构网表文件和下载文件。VHDL(VeryHigh Speed Integrated Circuit Hardware descriptionLangtuage)主要用于描述数字系统的结构、行为、功能和接口。与其他的HDL语言相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构。从逻辑行为上描述和设计大规模电子系统的重要保证。在文献中作者从不同的角度阐述了EDA技术的应用,它具有功能强大、描述能力强、可移植性好、研制周期短、成本低等特点,即使设计者不懂硬件的结构,也能进行独立的设计。本文以Alter公司提供的Max+PlusⅡ为平台,设计一个可变速的彩灯控制器,可以在不修改硬件电路的基础上,仅通过更改软件就能实现任意修改花型的编程控制方案,实现控制16只LED以6种花型和两种速度循环变化显示,而且设计非常方便,设计的电路保密性。

(一)实验目的

1. 进一步掌握数字电路课程所学的理论知识。

2. 了解数字电路设计的基本思想和方法,学会科学分析和解决问题。3. 熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。

4.培养认真严谨的工作作风和实事求是的工作态度

一、设计任务与要求

(1)要有多种花形变花。

(2)多路花形可以自动变换循环往复。(3)彩灯变幻的快慢接拍可以选择。(4)具有清零开关。

二、总体框图

(一)设计思路

用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。首先应进行系统模块的划分,规定每一个模块的功能以及各模块之间的接口,最终设计方案分为三大模块:16路花样彩灯显示器、时序控制器、整个电路系统,从而达到控制彩灯闪烁速度的快慢和花型的的变换。1.自动控制多路彩灯按预设的花型进行变换;

2、花型种类不少于三种,花型自拟; 3.分别用快慢两种节拍实现花型变换。4.选择:用可编辑逻辑器件实现。

(二)总体方案的设计

根据题目实际要求,经过分析与思考,拟定以下两种方案:

方案一:总体分为三个模块。第一块实现花形的演示,第二块实现花形的控制及节拍控制;第三块实现时钟信号的产生。

方案二:整体电路分为四块。第一块实现花形的演示;第二块实现花形的控制;第三块实现节拍控制;第四块实现信号产生。

方案三:有三个模块,第一个模块是时钟控制模块,第二块是花形控制模块,第三块是整体模块。

(三)总体设计的选择

三种方案比较发现,第三种方案相对简单。这样设计其优点在于:设计思想比较简单,元件种类使用较少,且易于连接电路。基于以上原因,加上短时间内完成课程设计,我选择了连线少的,易于连接和调试的方案。

(四)总体设计的选择 1 设计原理

时序控制电路SXKZ根据输入信号CKL_IN,CLR,CHOSE_KEY产生符合一定要求的、供显示控制电路XSKZ使用的控制时钟信号,而显示控制电路XSKZ则根据时序控制电路SXKZ输入的控制时钟信号,输出6种花形循环变化的、控制16路彩灯工作的控制信号,这些控制信号加上驱动电路一起控制彩灯工作。2系统设计方案

根据系统设计方案要求可知,整个系统共三个输入信号:控制彩灯节拍快慢的基准时钟信号CLK_IN,系统清零信号CLK,彩灯节拍快慢选择开关CHOSE_KEY:共有16个输出信号LED【15..0】,分别控制16路彩灯。

我们可将整个彩灯控制器CDKZQ分为两大部分:时序控制电路SXKZ和显示控制电路XSKZ,整个系统的组成原理如下图。

三、选择器件

16X16LED显示

EPI12版上SW【0】拨码 CLK1p

四、功能模块

(一)时序控制电路的VHDL源程序 ――SXKZ。VHDH library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sxkz is

port(chose_key:in std_logic;

clk_in:in std_logic;

clr:in std_logic;

clk:out std_logic);end entity sxkz;architecture art of sxkz is

signal cllk:std_logic;

begin

process(clk_in,clr,chose_key)is

variable temp:std_logic_vector(2 downto 0);

begin

if clr='0'then ――当CLK='0'时清零,否则正常工作

cllk<='0';temp:=“000”;

elsif rising_edge(clk_in)then

if chose_key='1'then

if temp=“011”then

temp:=“000”;

cllk<=not cllk;

else

temp:=temp+'1';

end if;--当CHOSE_KEY=’1’产生基准时钟频率的1/4的时钟信号,否则产生基准时钟--频率的1/8的时钟信号

else

if temp=“111”then

temp:=“000”;

cllk<=not cllk;

else

temp:=temp+'1';

end if;

end if;

end if;end process;clk<=cllk;end architecture art;

时序控制电路SXKZ的仿真图如下;

时序控制电路SXKZ的功能是,用CHOSE_KEY控制输入信号CKL_IN的快慢节拍。而CLR是控制开关。

(二)显示控制电路的VHDL源程序--XSKZ.VHDL library ieee;use ieee.std_logic_1164.all;entity xskz is

port(clk:in std_logic;

clr:in std_logic;

led:out std_logic_vector(15 downto 0));end entity xskz;architecture art of xskz is

type state is(s0,s1,s2,s3,s4,s5,s6);

signal current_state:state;

signal flower:std_logic_vector(15 downto 0);

begin

process(clr,clk)is

constant f1:std_logic_vector(15 downto 0):=“***1”;

constant f2:std_logic_vector(15 downto 0):=“***0”;

constant f3:std_logic_vector(15 downto 0):=“***1”;

constant f4:std_logic_vector(15 downto 0):=“***0”;

constant f5:std_logic_vector(15 downto 0):=“***1”;constant f6:std_logic_vector(15 downto 0):=“***1”;--六种花形的定义

begin

if clr='1'then

current_state<=s0;

elsif rising_edge(clk)then

case current_state is

when s0=>

flower<=“***0”;

current_state<=s1;

when s1=>

flower<=f1;

current_state<=s2;

when s2=>

flower<=f2;

current_state<=s3;

when s3=>

flower<=f3;

current_state<=s4;

when s4=>

flower<=f4;

current_state<=s5;

when s5=>

flower<=f5;

current_state<=s6;

when s6=>

flower<=f6;

current_state<=s1;

end case;

end if;

end process;

led<=flower;end architecture art;

显示控制电路XSKZ的仿真图如下;

显示控制电路XSKZ的功能是控制花形的。

(三)整个电路系统的VHDL源程序--CDKZQ.VHDL library ieee;use ieee.std_logic_1164.all;entity cdkzq is

port(chose_key:in std_logic;

clk_in:in std_logic;

clr:in std_logic;

VGA: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

led:out std_logic_vector(15 downto 0));end entity cdkzq;architecture art of cdkzq is

component sxkz is

port(chose_key:in std_logic;

clk_in:in std_logic;

clr:in std_logic;

clk:out std_logic);

end component sxkz;

component xskz is

port(clk:in std_logic;

clr:in std_logic;

led:out std_logic_vector(15 downto 0));

end component xskz;

signal s1:std_logic;

begin

VGA<=“0110”;

u1:sxkz port map(chose_key,clk_in,clr,s1);

u2:xskz port map(s1,clr,led);end architecture art;

整个电路CDKZQ系统的仿真图如下;

整个电路CDKZQ系统是把SXKZ与XSKZ综合成一个电路。

五、总体设计电路图

花形变化***1——***0——***1——***0——***1——***1——***1循环变化如下图所示:第一个花形;

第二个花形;

第三个花形;

第四个花形;

第五个花型;

第六个花形;

六、分析与总结

用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDH“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的。它使的我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。通过使用EDA编程既方便又快捷的实现了程序本次设计的程序已经在硬件系统上得到了验证,实验表明,此设计方法能够满足多种不同花样彩灯的变化要求。并且该方法便于扩展不同变化模式的彩灯花样。

电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。他不但能巩固我们以所学的电子技术的理论知识,而且能提高我们的电子电路设计水平,还能加强我们综合分析问题和解决问题的能力。进一步培养我们的实验技能和动手能力,启发我们的创新思维。

使用VHDL语言设计电路,思路简单,功能明了。使用Max+PlusⅡ设计电路不仅可以进行逻辑仿真,还可以进行时序仿真,使用PLD不仅省去了电路制作的麻烦,还可以反复进行硬件的实验,非常方便地修改设计,且设计的电路的保密性强。总之,采用EDA技术使得复杂的电子系统的设计变的简单易行,提高了设计的效率。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文介绍了基于EDA技的多路彩灯控制器的设计与分析

七、心得体会

本次课程实习我虽然用了两个星期的时间就全部做完,但整个过程我都认真的完成了,而且从中收获很多。可以总结为以下的几点: 1,对EDA知识的巩固与提高

这次课程设计主要是运用VHDH设计的一些相关知识,在整个实习过程中,都离不开对EDA课程知识的再学习。我在最开始,就先将实习用到的知识通过翻阅数电书回顾了一遍(这也是对这门课的复习,给以后的复习备考减少了很多负担),这样的回顾让我对知识的理解更加透彻,对后来的快速设计起了很好的铺垫作用。

2,学会了理论联系实际

课程设计,通过选择的题目,根据要求,运用所学知识将其付诸实践来完成。这并不是在课堂上的单纯听懂,或者课后看书过程中的深入理解,这需要的是一种理论联系实践的能力。理论知识往往都是在一些理想状态下的假设论,而实际的动手操作则完全不同,需要考虑实际中的很多问题。有些知识在理论上可能完全没错但到了实际中则不然。比如在动笔做题时我们是不用考虑导线的电阻的,但在实际中,导线电阻有时是会带来时延造成花型变化的错乱,所以我们应尽量在连接电路时选择最短路径。

3,学会了如何运用电路板、芯片、导线等组装各种功能的电路;

虽然这不是第一次用电路板,因为之前的课内实验也用过,但当时的运用也只是插些导线和电阻电容之类的,用了电路板的很小部分。这次的实习中应用了整块板子,实习后对电路板的组成完全了解了,并能熟练运用。实习中通过对电路的连接也懂得了如何通过设计的分析对所连电路的整体布局,如何更好的设计模块将它放在最合适的位置。一个完美的作品不仅要能很好的完成要求实现功能,还要在感官上给人美的享受。所以站在美的角度对自己的电路进行改良是很必要的。

4,和同学的互相协作共同进步

在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的idea,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。5,其他

课程实习设计是开端,设计是关键,测试是必须。所以实现过程中不仅要求对知识的掌握要足够准确与精通,更要有绝对的耐心与细心。设计模块电路时一定按照自己的设计图仔细设计这会对后面的测试起到很好的铺垫作用。在后面查错时就不用花费精力在检查上,可以给减少很多后续工作。我在这次的实习中其实也有连错线的时候,但我很快检查出来调整了电路,结果测试电路后花型显示完全正确。没有费太多的功夫在检查电路上

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,最好的办法就是问别人,因为每个人掌握情况不一样,不可能做到处处都懂,发挥群众的力量,复杂的事情就会变得很简单。这一点我深有体会,在很多时候,我遇到的困难或许别人之前就已遇到,向他们请教远比自己在那冥思苦想来得快。通过这次彩灯设计之后,让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养展。

在这次的课程设计里深入的接触了运用电子集成元器件制作多路彩灯的过程,并和同学一起讨论用软件制作出了这一个多路彩灯控制系统。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践的

第四篇:《漂亮的小彩灯》教学设计

《漂亮的小彩灯》教学设计

华南师范大学附属南沙小学 2020学年第1学期 美术科目教案

教学内容

《漂亮的小彩灯》

教学课时

上课教师

备课时间

教学目标

教学目标

1.通过学习“对折、剪切、粘贴”等彩色纸立体造型技能;理解彩灯的审美性和实用性。

2.在欣赏评述中,感悟民间彩灯艺术的装饰美、实用美;在材料选择和彩灯设计制作过短中,培养形象思维能力。

3.关注民间彩灯的文化;体验彩灯增添节日气氛的情趣,激发热爱生活的情感。

教学重点

1.学会欣赏和区分民间彩灯和现代彩灯的造型美。

2.认识彩灯的结构。

3.学会使用折、卷、剪、贴的方法制作彩灯。

教学难点

1.从平面到立体的制作过程。

2.运用镂空、剪贴等方法美化小彩灯。

教学方法

情境假设法PPT、投影仪、多媒体、演示法

教学准备

卡纸、图画本、描边笔、彩色笔、剪刀、胶水。

教学过程

一、创设情境

1.师: 同学们,上课铃声响了,你们成群结队,手里还提着彩灯是去做什么了?

2.生:同学们,老师我们是一起去参加灯会欣赏彩灯刚回来。

3.师:看着李果同学身上背着相机是不是拍到到很多漂亮的彩灯呀!

4.生:是的,我们还拍了很多自己喜欢的彩灯回来分享给同学们,请看黑板

5.师:这个彩灯会好特别呀,同学们,这些彩灯都有哪些造型呢,你们谁来说一说?

6.生:有熊猫、鲨鱼等等,还有一个由很多形状组成的灯。

7.师:同学们,这个由很多形状组成的灯就是我们广东特别出名的彩灯:《走马灯》

9.走马灯具有精美图案,内设计玄关。因可以转动观赏得名走马灯。它们都是用来装饰着各种喜庆的场合,无论是古今,彩灯都被作为象征吉庆装饰物;装点家家户户,象征着光明、喜庆、吉祥之意。装饰自己的家居,或周围环境。

二、导出新课,课堂发展

师:你们看到这么多漂亮的灯笼,已经露出羡慕的眼神,请问你们想不想亲自设计和制作一款彩灯参加灯会呢? 让我们一起来学习做一个漂亮的彩灯吧!板书:出示课题:漂亮的小彩灯

三、回想旧知,图形要素

(1)辨识图形(考一考)

师:请同学们认真观察这些彩灯,他们是什么形状?

生:(圆、正方形、三角形形等)

(2)认识彩灯构成(学一学)

师:请问彩灯都有哪些部分组成呢?

生:1.提手2.灯身3.灯穗(灯尾)(板书彩灯结构)

【设计意图】 让学生关注民间传统节日,通过观赏幻灯片了解各款式的节日彩灯,感悟民间彩灯艺术的装饰美、实用美,了解彩灯构成,体现彩灯为民间节日所带来的节日气氛情趣,激发学生尝试设计并制作属于自己的彩灯的欲望。

四、新课展示、示范操作

(1)新课讲授,示范操作

<1>讲授制作灯笼的步骤:师:出示一款圆柱形灯笼实物图片并讲解制作过程

板书:步骤: 1、折 2、贴3、剪4、贴手挽带

师:示范一:

(1)选取彩色卡纸一张,教学“折”,把这张彩色纸折成圆柱体,(板书:折)

生:操作一:(学生挑选自己喜爱的彩色卡纸)仿照老师示范一教学步骤进行。(师巡视并从旁指导,检查学生的折纸过程。)

师:示范二:把示范一留下的一条小纸边与彩纸另一端进行粘贴(使用双面胶)。

<2>出示灯笼的对比图,请同学们说说那个更好看,为什么?

引出镂空、剪贴美化方法。

<3>介绍镂空制作方法:

一对折、二剪、三留空、四卷贴。

<4>介绍剪贴制作方法:

一画、二剪、三贴。

<5>作品欣赏

(2)学生创作,教师指导

小彩灯制作比赛

能够做一个立体的小彩灯。★

能够做一个有镂空或剪贴花纹装饰的小彩灯。★ ★

能够做一个造型独特,并且有镂空或剪贴花纹装饰的小彩灯。★ ★ ★

【设计意图】 通过老师详细解析并实际示范制作彩灯(灯笼)的全过程,让学生亲自动手,逐步学会模仿制作属于自己的小彩灯,同时老师在学生制作的过程中,不断巡视、指导,及时发现学生的进步闪光点并及时表扬和鼓励,让学生感受制作的快乐,增强学生学习美术的自信。

五、作品汇报,展览评价

经老师检查后,可把自己制作好的灯笼放到实物展示台给予大家观赏并进行评价。

【设计意图】 让学生把制作完成的小彩灯(灯笼)作品进行集体展示,并相互平价,激励学生在学习与制作过程中体验成功的快乐,及学习的自信,感悟民间彩灯的艺术装饰美、实用美,逐步培养学生的审美素养。

三、课程总结,品行培养

师:总结:本节课的任务:我们除了学会制作一款式样较简单的小彩灯(灯笼),还学了一些审美的知识,这样可让我们通过学习制作小彩灯(灯笼),在节假日到来前通过自己亲自动手设计并制作自己喜爱的小灯笼,装饰自己的家和课室或其他场所,装点环境。

【设计意图】 通过在学生的作品展示后老师对本课进行总结,让学生了解美术设计与制作的多元化,以及环保在美术制作中的开展和应用,从而提高学生的审美能力和辨识能力,培养学生的环保意识和开展环保制作。

六、学习延伸,新品创作。

师:延伸:平时孩子们在逛街时收到一些宣传广告纸时,可以环保制作,用这些广告纸作为制作材料,设计并制作自己喜爱的小灯笼,可以作为装饰之用,也可以作为礼物送给他人;同样,可以让我们学会纸张再用,增强环保意识,减少废弃纸张。

【设计意图】 让学生了解美术制作在课堂外的设计和创作以及应用,关注民间的传统艺术文化,激发学生热爱生活的情趣,培养环保意识和良好的行为,开拓学生的审美思维。

板书设计: 漂亮的小彩灯

构成:提手、灯身、灯尾

步骤:折、剪、贴

美化:镂空、剪贴

作业设计:学习延伸,新品创作。

课后反思

第五篇:元宵节里挂彩灯 教学设计

《元宵节里挂彩灯》教学设计

一、教学内容:

人教版教材五年级上册第12节课《元宵节里挂彩灯》

二、设计思想:

五年级的学生对美术基本知识和技能有了一定的掌握,能够运用造型表现,设计应用等综合能力制作彩灯。通过故事,影视作品,文学作品对我国传统的节日有了一定的了解和认识。通过本课的学习使学生进一步了解元宵节的风俗习惯,利用废旧材料制作彩灯增强学生变废为宝的创造意识和环保意识。通过制作彩灯,猜灯谜等活动感受元宵节的热烈气氛,培养热爱中华民族文化的情感。

三、课时:1课时

四、学习领域:综合 探索

五、教学目标:

1.帮助学生收集整理元宵节的相关资料,了解元宵节的风俗习惯。2.利用废旧材料分组设计制作有特色的彩灯。

3.通过讲故事,做彩灯,猜灯谜等一系列活动感受元宵节的热烈气氛,培养热爱中华民族文化的情感。

六、教学重点:

1.设计制作有特色的彩灯。2.组织猜灯谜活动感受节日的气氛。

七、教学难点:

1.如何设计制作有特色的彩灯。

2.在整个教学活动中使学生保持浓厚的兴趣。

八、教学准备:

1.学生准备:

A.有关元宵节来历,活动的故事。

B.制作彩灯的工具材料(剪刀,刀子,废旧挂历纸,双面胶,塑料瓶,易拉罐,毛线等)。

C.2---3条灯谜。2.教师准备:

A.与元宵节相关的故事,文学作品。B.个人制作的2——3盏彩灯(附灯谜)。C.有关元宵节活动及彩灯的视频,图片等资料。D.有特色的小奖品。

九、教学过程:

设计教学情境导入新课:

1.讲台前挂2—3盏自己制作的彩灯,同时运用多媒体播放能表现中国传统节日欢快气氛的音乐。

2.进行猜灯谜活动(2—3条,并用小奖品鼓励猜对的同学,来调动学生的积极性)。

提问1:猜灯谜是什么节日的一项重要的活动?

(由此引如本课课题——元宵节里挂彩灯)3.讲授相关新知识:

提问2:在元宵佳节里除挂彩灯,猜灯谜还有那些活动?

(学生回答,教师加以肯定和补充。同时通过视频,图片,文学作品了解更多的活动,介绍陕西元宵是“滚”出来的特色。)

提问3:大家都知道元宵佳节有那么多的活动,有谁知道元宵节的来历?

(学生和老师讲2——3个关于元宵节的小故事,要求讲故事语言精练,条理清晰)

讲授彩灯的设计与制作:

A.过大量的视频,图片,实物了解彩灯的设计造型,使学生从中获取灵感学生自己设计有特色的彩灯提供思路。

B.通过观看相关作品的欣赏分析制作彩灯所用的材料。

(建议利用废旧材料使其变废为宝)

C.展示教师的作品,运用分解,演示,讲授,示意图等方法介绍2---3种自己作品的制作方法,使学生从中获取制作彩灯的方法(在此引用冰心的《小橘灯》作品中的制作小橘灯的文字)。建议其中一件运用中国传统的制作彩灯的方法,使学生了解传统的制灯方法。

D.学生分组讨论彩灯制作思路,并相互交流。(教师可做适当的评价,建议。分组时应注意各组的整体实力不能悬殊过大,以免影响能力较差的学生的积极性。)

E.组织学生按照自己的思路制作彩灯。(在制作过程当中教师应在有难度的过程中给予适当帮助以降级作业的难度,提高作业的质量。)

要求:

1.在制作过程当中保持环境整洁。2.在制作过程当中注意安全。

下载彩灯设计报告word格式文档
下载彩灯设计报告.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    基于FPGA的彩灯控制器设计(5篇)

    《EDA原理及应用》 课程设计报告 课题名称: 基于数码管显示的彩灯控制器 院 系: 机电工程学院专 业: 电子信息工程学生姓名: 陈纳爽 学生学号: 201033285123 彩灯及数码管显示......

    单片机控制的循环彩灯控制系统的设计实习报告

    一、 实习目的 《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统......

    《元宵节里挂彩灯》教学设计1

    《元宵节里挂彩灯》教学设计 教学目的: 1、引导学生了解元宵节的风俗习惯,并通过欣赏,感受元宵节热烈的气氛,培养热爱中华民族文化的情感. 2、引导学生进行彩灯的设计制作,并布......

    EDA课程设计彩灯控制器

    沈阳理工大学EDA技术课程设计报告 1设计目的 熟练掌握EDA技术利用计算机方面的课程解决专业课程方面点具体问题,达到解决问题,完成课程设计任务,培养实践的目的。 2设计要求......

    eda课程设计-彩灯控制器

    1.设计目的 学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。 2.设计题目描述和要求 1)设计一个彩灯控制器,使彩灯(LED管)能连续......

    《扎彩灯》动作教学

    扎彩灯(东北秧歌) 1、训练目的 东北秧歌的表演性舞段 2、主要动作及要求: a、顿步:重拍向上,全脚着地,提胯有顿劲。 b、肩上交替搭巾:一手甩巾至肩上搭巾,一手向旁斜后甩巾。 c、半......

    彩灯控制器eda课程设计(定稿)

    目录 1.引言.............................................................. 3 2. EDA技术 ......................................................... 5 2.1 EDA技术介......

    元宵节里挂彩灯

    元宵节里挂彩灯 教学目的: 1、引导学生了解元宵节的风俗习惯,并通过欣赏,感受元宵节热烈的气氛,培养热爱中华民族文化的情感。 2、引导学生进行彩灯的设计制作,并布置展示。 教学......