《数字逻辑与数字系统》课程实践教学经验总结

时间:2019-05-13 00:59:34下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《《数字逻辑与数字系统》课程实践教学经验总结》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《《数字逻辑与数字系统》课程实践教学经验总结》。

第一篇:《数字逻辑与数字系统》课程实践教学经验总结

数字逻辑与数字系统资源库

《数字逻辑与数字系统》课程实践教学

经验总结

北京邮电大学计算机学院实验中心 杨秦 张杰 靳秀国

“数字逻辑与数字系统”课程是计算机、通信、电子、信息、自动控制等专业的技术基础课程,又是一门实践性很强的课程。对计算机专业的学生来说,它是硬件知识的基础课,一辈子受用。“数字逻辑与数字系统”课程教学实验不仅对学好本门课,而且对 “计算机组成原理”等后续课的理论教学和实践教学具有十分重要的意义。因为它是能力培养的基础性工作,理论教学无法取代。为了配合精品课程建设,我们对教学实验包括实验系统、教学内容及实验教学手段都进行了改革,也取得了一些经验。本文从课程实验和课程设计两个方面

进行总结。

课程实验部分

1.从教材、实验系统到教学实验内容的改革

由于新技术的发展,“数字逻辑与数字系统”教材也在变革,我们选择了清华大学科教仪器厂的TDS-2实验系统(见图一)。在这个实验设备上,既能使用中小规模标准器件作基础数字实验,又可使用可编程逻辑器件完成复杂的数字系统实验。在我们新购置的100台综合实验平台TEC-5(见图一)中,仍保持了基础性实验和时代性实验两方面的教学内容,为数字实验提供了高端的ISP芯片,使教学实验的内容和重点得以向在系统编程逻辑方面倾斜。

图 一

基础性实验的设计非常重要,它使学生掌握基本概念,具备基础知识和能力

结构。基本逻辑门和三态门实验:在掌握“TDS数字电路实验系统”仪器和示波器测量的使用方法的基础上,掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系;三态门逻辑功能和使用方法,熟悉TTL中、小规模集成电路的外形、管脚和使用方法;掌握用三态门构成总线的特点和方法。基本逻辑门实验,选择了典型的芯片,让学生从芯片的外观、封装到逻辑关系的验证来熟悉数字芯片;在接下来的三态门实验中,逻辑的验证就不是重点了,我们引导学生测量高阻输出受钳制的情况,让他们在实验中感性的体会三态的意义。

数据选择器和译码器实验:熟悉数据选择器和译码器的逻辑功能。这种组合逻辑的实验,我们更加强调应用而不是简单验证。时序逻辑的实验也是一样,有时候一次实验做出多少个计数器并不重要,我们更加看重的是会不会分析设计电路,能不能记录和分析时序图。触发器实验:掌握RS触发器、D触发器、JK触发器的工作原理,学会正确使用RS触发器、D触发器、JK触发器。

简单时序电路:掌握简单时序电路的分析、设计、测试方法。计数器实验:掌握计数器74LS162的功能,计数器的级连方法,熟悉任意模计数器的构成方法,熟悉数码管的使用。在计数器和时序电路实验中,我们还穿插了Workbench仿真试验,并且指导学生用仿真软件指导设计和完成测试。我们在时代性实验中,在系统编程ISP技术的实验内容:用两个时间单元完成AHDL实现三八译码器、十进制计数器和七段译码器实验,芯片选用ISP1032,软件平台用isp EXPER,鼓励学生采用多种方案实现。

2.实验教学方式的改革和实践效果 在实验教学中,我们抛弃了过去的粉笔黑板灌输式的教学方式,尝试了多种

手段结合的互动式教学模式。首先,我们在总结大家教学经验的基础上统一了实验PPT教案(如图二所示),采用了PPT投影为主和老师即兴板书为辅的授课形式,一改过去落后的教学方式,图形界面美观并且讲解更为方便,也收到良好的教学效果。

图 二

然后,我们指导做毕业设计的学生完成实验课件的Flash动画设计(如图三所示),连同PPT教案放到FTP服务器上提供学生下载,学生在实验课前就可以完成对实验系统和实验内容的预习,并且对实验的流程有一个直观的了解。

图 三

在实验指导上,我们也做了很多尝试。在学生做数字逻辑实验的第一天起,就告诉他们实验不是简单对理论的验证,而是以培养数字系统硬件工程师的标准去要求,除了知识的学习,实验更看中的是能力的培养。首先,对实验系统的所有调试工具:示波器、逻辑笔等必须熟练掌握;每一次实验前要求下载相关芯片手册,对芯片每一个功能引脚都要求了解;在时序逻辑实验中,要求绘制并分析时序图;能使用防真软件辅助小型综合电路的设计;在系统可编程系统实验中,鼓励以不同方案完成设计。在验收和给成绩的时候,我们看的不仅仅是实验内容的正确完成,更多考虑的是工具是否熟练使用、设计方案是否灵活多样等等个人能力。

对于实验中遇到的问题,教师可结合自身教学和科研经验,尽量给出详尽并且特别的解答,比如在三态门实验中,学生对高阻态和总线方式提出疑问时,除了讲解概念之外,还给他们举了一个科研中的实例:在我们做CDMA基站测试系统硬件设计时,由于译码和读写信号设计错误,CPU访问存储单元数据总线严重冲突造成死机。在讲解时序芯片由于工艺限制导致信号延时的时候,用一次科研实例:一个特别信号需要延时几纳秒而系统时钟只有4兆赫兹,设计人员利用EPLD芯片自身延时将信号进出芯片两次,巧妙的解决了问题,让学生在硬件实验中学会逆向思维去解决问题。

多样化的教学手段的应用以及更新更特别的教学方式,让学生在数字逻辑实验中不仅锻炼了能力,更重要的是找到了学习的乐趣。在实验结束后,很多同学不愿离开实验室,而是热烈地讨论和试验更多不同方案,我们赞同这种脑力激荡的方式,毕竟求知的乐趣和热情是非常难能可贵的,这也是我们实验教学的目标。

3.一个典型的实验范例

我们加大了在系统编程ISP系统实验的数量,让学生用AHDL语言实现三八译码器、十进制计数器和七段译码器,在实验中鼓励他们设计不同的方案,比较分析不同方案的优缺点,也给他们讲解一些设计的技巧。比如使用中间变量逻辑结构,能够简化逻辑表达式,从而减少适配器的工作量和运行时间,增加适配成功率同时减少芯片资源占用率。在实验中,学生的完成情况给我们以很大的惊喜。下面以最后一次ISP实验为例。

实验要求:学生独立设计一位十进制计数器七段数字显示系统,如图四所示。计数器是8421BCD码同步计数器,其输出Q3—Q0作为七段译码器的输入,译码器的输出送到七段发光二极管显示器,它能显示0,2,„„9十个字符。采用ABEL-HDL语言设计并写出完整的设计源程序,并在实验台的数码管上进行演示。

图 四

这个实验非常典型,既有时序逻辑,又有组合逻辑。不少学生在实验中作出了创新尝试,采用了多种方案:涉及逻辑方程法、真值表法、状态图法。由于设计中包含了计数器和译码器两个模块,各种方法又有不同的设计方案,很多同学一个人就做了多种方案,表现了很高的兴趣和设计热情。下面选取了有特点的5种方案:方案一至方案三中的十进制计数器设计方法大致相同,而译码器设计采用了逻辑方程和真值表法,而方案二和三又用了真值表的不同表达方式;方案四和五同是状态图法,表达方式也不相同。充分表现了ABEL-HDL语言设计数字逻辑电路的灵活性和多样性。方案一

MODULE count1 TITLE 'count1' DECLARATIONS

clock,clear PIN;q3,q2,q1,q0 NODE ISTYPE 'reg';ya,yb,yc,yd,ye,yf,yg PIN ISTYPE 'com';count=[q3..q0];EQUATIONS

count.clk=clock;count.clr=clear;

count:=(count+1)&!(count==9);

yg=!(count==0)&!(count==1)&!(count==7);

yf=!(count==1)&!(count==2)&!(count==3)&!(count==7);

ye=!(count==1)&!(count==3)&!(count==4)&!(count==5)&!(count==7)&!(count==9);yd=!(count==1)&!(count==4)&!(count==7)&!(count==9);yc=!(count==2);

yb=!(count==5)&!(count==6);

ya=!(count==1)&!(count==4)&!(count==6);END count1

方案二

方案三 MODULE count2 TITLE 'count2' DECLARATIONS

clock,clear PIN;

q0,q1,q2,q3 NODE ISTYPE 'reg';

a,b,c,d,e,f,g PIN ISTYPE 'com';q=[q3..q0];EQUATIONS q.clk=clock;q:=(q+1)&(q!=9);q.clr=clear;TRUTH_TABLE

(q->[a,b,c,d,e,f,g]);0->[1,1,1,1,1,1,0];1->[0,1,1,0,0,0,0];2->[1,1,0,1,1,0,1];3->[1,1,1,1,0,0,1];4->[0,1,1,0,0,1,1];5->[1,0,1,1,0,1,1];6->[0,0,1,1,1,1,1];7->[1,1,1,0,0,0,0];8->[1,1,1,1,1,1,1];9->[1,1,1,0,0,1,1];

MODULE count3 TITLE 'count3' DECLARATIONS

clock,clear PIN;

q0,q1,q2,q3 NODE ISTYPE 'reg';

a,b,c,d,e,f,g PIN ISTYPE 'com';q=[q3..q0];EQUATIONS q.clk=clock;q:=(q+1)&!(q==9);q.clr=clear;TRUTH_TABLE

([q3..q0]->[a,b,c,d,e,f,g]);[0,0,0,0]->[1,1,1,1,1,1,0];[0,0,0,1]->[0,1,1,0,0,0,0];[0,0,1,0]->[1,1,0,1,1,0,1];[0,0,1,1]->[1,1,1,1,0,0,1];[0,1,0,0]->[0,1,1,0,0,1,1];[0,1,0,1]->[1,0,1,1,0,1,1];[0,1,1,0]->[0,0,1,1,1,1,1];[0,1,1,1]->[1,1,1,0,0,0,0];[1,0,0,0]->[1,1,1,1,1,1,1];[1,0,0,1]->[1,1,1,0,0,1,1];

END count2 方案四

MODULE count4 DECLARATIONS clock PIN;

g, f, e, d, c, b, a PIN;y = [g, f, e, d, c, b, a ];q0,q1,q2,q3 node istype 'reg';count=[q3,q2,q1,q0];

state0 = [0,0,0,0];state1 = [0,0,0,1];state2 = [0,0,1,0];state3 = [0,0,1,1];state4 = [0,1,0,0];state5 = [0,1,0,1];state6 = [0,1,1,0];state7 = [0,1,1,1];state8 = [1,0,0,0];state9 = [1,0,0,1];EQUATIONS

count.CLK = clock;STATE_DIAGRAM [q3,q2,q1,q0 ] STATE state0 :y= ^B0111111;goto state1;STATE state1 :y= ^B0000110;goto state2;STATE state2 :y= ^B1011011;goto state3;

END count3

方案五

MODULE count5 DECLARATIONS clock PIN;

a, b, c, d, e, f, g PIN ISTYPE 'REG';COUNT = [g, f, e, d, c, b, a ];

EQUATIONS

COUNT.CLK = clock;

STATE_DIAGRAM [g, f, e, d, c, b, a ] STATE ^B0000000 : GOTO ^B0111111;STATE ^B0111111 : GOTO ^B0000110;STATE ^B0000110 : GOTO ^B1011011;STATE ^B1011011 : GOTO ^B1001111;STATE ^B1001111 : GOTO ^B1100110;STATE ^B1100110 : GOTO ^B1101101;STATE ^B1101101 : GOTO ^B1111101;STATE ^B1111101 : GOTO ^B0000111;STATE ^B0000111 : GOTO ^B1111111;STATE ^B1111111 : GOTO ^B1101111;STATE ^B1101111 : GOTO ^B0111111;END count5

STATE state3 :y= ^B1001111;goto state4;STATE state4 :y= ^B1100110;goto state5;STATE state5 :y= ^B1101101;goto state6;STATE state6 :y= ^B1111101;goto state7;STATE state7 :y= ^B0000111;goto state8;STATE state8 :y= ^B1111111;goto state9;STATE state9 :y= ^B1101111;goto state0;END count4

课程设计部分

课程设计的目的是学习运用ISP(在系统编程)技术进行设计和调试的基本步骤和方法,熟悉集成开发软件中设计,模拟调试工具的使用,体会ISP技术相对于传统开发技术的优点。通过课程设计的锻炼,培养学生进行科学研究的独立工作能力,取得工程设计与组装调试的实践经验。

课程设计放在暑假中短学期进行,完全是开放性的,实验室全天开放,教师只对学生的设计方案和调试方法提供指导,不限制设计思路。学生3人一组,团队协同工作,在规定的两周时间内,独立完成四个设计课题并调试成功,由指导教师验收。

1.课程设计内容

芯片选用ISP1032E(引脚图见图五),软件平台用isp EXPERT(如图六所

示)。

图 五 图 六

课题一简易电子琴:用ABEL语言设计一个电子琴。使用TDS-2或TEC-5实验台上的8个电平开关做琴键,电平开关输出为高电平时相当于琴键按下,电平开关输出为低电平时相当于琴键松开。电子琴共有C调的8个音:1,2,3,4,5,6,7和I,在TDS数字电路实验台上对设计进行调试,调试时用实验台上的小喇叭作发声装置。

课题二简易频率计:设计一个简易的频率计,用于测量1MHz以下数字脉冲信号的频率。闸门只有1S一档,测量结果在实验台上的6个数码管显示出来,不测信号脉宽,每次对被测信号计数前,计数器应清零。

课题三交通灯实验:以实验台上的4个红色电平指示灯、4个绿色电平指示灯和4个黄色电平指示灯模仿路口的东、西、南、北4个方向的红、绿、黄交通灯。控制这些指示灯,使它们按下列规律亮、灭:

1.初始状态为4个方向的红灯全亮,时间为1秒。

2.东、西方向绿灯亮,南、北方向红灯亮。东、西方向通车。时间为5秒。3.东、西方向黄灯闪烁,南、北方向红灯亮。时间2秒。

4.东、西方向红灯亮,南、北方向绿灯亮。南北方向通车。时间5秒。5.东、西方向红灯亮,南、北方向黄灯闪烁。时间2秒。6.返回2,继续运行。

7.如果发生紧急事件,例如救护车、警车通过,则按下单脉冲按钮,使得东、西、南、北四个方向红灯亮,紧急事件结束后,松开单脉冲按钮,恢复到被打断的状态继续运行。

课题四电子钟:设计实现一个电子钟。电子钟具有以下功能: 1.实验台上的6个数码管显示时、分、秒。2.能使电子钟复位(清零)。3.能启动或者停止电子钟运行。

4.在电子钟停止运行状态下,能够修改时、分、秒的值。5.具有报时功能,整点时喇叭鸣叫。

要求整个设计分为若干模块,顶层模块用原理图设计,低层模块用ABEL语言设计。在TDS-2或TEC-5实验台上调试设计。

2.完成情况分析

从2001-2005共五届学生的课程设计情况来看,多数小组两周时间能够完成,其中优秀的小组一周多的时间就能全部调试验收完成。四个设计课题涵盖了计数、分频、状态转移多种典型的内容,尤其最后一个电子钟的实验,要求学生用原理图和ABEL语言用两层结构,锻炼了综合设计的能力。学生在整个课程设计期间会出现很多错误:器件型号选错、各种语法错误、设计疏漏引起的竞争和冒险等等,大家团队合作解决问题的过程是非常有趣的经历。学生在调试日志中写道:

* 出现问题:在“停止-调表”状态和计时状态转换时,数码管显示的数出现无规律地跳变,而且跳变时有时无。……原来在处理“停止-调表”状态和计时状态时存在竞争冒险,也就是这条语句:

SF.clk=(!V&!stop)#(!aset1&stop)……

终于找到根源所在!解决问题立即出台!……从根本上解决了问题!

……把分钟、小时钟的模块采取同样的措施后,我们的电子钟近乎完美!

* 实验过程使我们对ABEL的应用有了更深入的认识,实验的同时也增进了我们对ISPexpert软件的熟悉程度。实验的过程就是解决每一个问题的过程,每一个问题的解决,都让我们对基本概念有了更为深入地理解。

课程设计的整个过程进一步巩固和加深了《数字逻辑与数字系统》基本理论、概念的理解,提高了学生的动手能力和数字系统综合设计能力,取得了非常好的实验教学效果。但也发现了一些学生有拷贝现象,为此我们采取了相应的措施,如改换题目进行重做并严格打分;期末考试中加入了实验部分的内容。

结语

在整个《数字逻辑与数字系统》课程的实验教学工作中,我们不仅注重实验设备、教材的更新,更注重实验内容、教学方式的改革,将先进的手段和观念引入教学。通过实验,使学生巩固加深数字逻辑与数字系统的理论知识,通过实践进一步加强学生独立分析问题和解决问题的能力、综合设计及创新能力的培养,同时注意培养学生实事求是、严肃认真的科学作风和良好的实验习惯,为今后科学研究工作打下良好的基础。

第二篇:数字逻辑与数字系统设计教学大纲

西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

“数字逻辑与数字系统设计”教学大纲

课程编号:OE2121017 课程名称:数字逻辑与数字系统设计

英文名称: Digital Logic and Digital

System Design 学

时:60

分:4 课程类型:必修

课程性质:专业基础课 适用专业:电子信息与通信工程(大类)

开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院

一、课程的教学任务与目标

数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。

要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA设计工具,培养学生设计较大规模的数字电路系统的能力。

本课程教学特点和主要目的:

(1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。

(2)使学生掌握经典的数字逻辑电路的基本概念和设计方法;(3)掌握当今EDA工具设计数字电路的方法。

(4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

过本课和实验教学, 使学生掌握新的数字系统设计技术.虽然现代设计人员已经很少使用传统的设计技术,但传统的设计可以让学生直观地了解数字电路是如何工作的,并可以为EDA设计工具所进行的操作提供说明,让学生进一步了解自动化设计技术的优点。

成功的逻辑电路设计人员必须深入理解数字逻辑设计相关的基本概念,并熟练掌握EDA设计工具的使用。

二、本课程与其它课程的联系和分工

数字逻辑与数字系统设计主要讨论集成电路器件的外部特性,对门电路内部晶体管的工作原理及状态转换只作定性了解。

数字逻辑与数字系统设计在学科基础中的地位既要体现作为一门课程的完整性和电子线路体系结构的特点,也要体现为后续课程服务的目的。后续的专业课程如计算机组成原理,微机原理、接口技术等都是数字电路系统高度集成的体现。数字电路与系统设计为微处理器与系统设计、嵌入式系统、数字通信等后续课程进行了基础知识准备。

三、课程内容及基本要求

(一)数制与编码(建议3学时)学习数制表示方法和常用编码 1.基本要求

(1)掌握常用数制(2、8、10、16进制数)的表示方法与相互转换方法

(2)掌握常用编码(842BCD码、5421BCD码、余3码、格雷码等)的表示方法 2.重点、难点

重点:二进制,十六进制 难点:格雷码的掌握

3.说明:主要掌握常用编码的表示方法

(二)逻辑代数与逻辑函数化简(建议10学时)

学习逻辑代数的基本运算及函数表示方式,了解逻辑函数的化简方法;学习硬件描述语言(HDL)描述逻辑函数的基本结构,熟悉逻辑函数与HDL之间的对应关系。1.基本要求

(1)熟练掌握基本逻辑运算与逻辑门

(2)了解逻辑代数的基本定理、法则和主要公式,了解逻辑函数代数化简法(3)掌握逻辑函数的标准表达式和常用的五种表达式及相互转换方法(4)能够用HDL描述真值表,熟悉逻辑函数的HDL表达方式(5)熟悉逻辑函数的卡诺图化简法

(6)掌握包含无关项逻辑函数的表示方法及化简方法 2.重点、难点

重点:逻辑函数的两种标准表示形式以及HDL表达方式 难点:五种表达式之间的相互转化 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

3.说明:5个变量以上的逻辑函数化简不作要求

(三)组合逻辑电路(建议10学时)

学习组合逻辑电路的分析方法和设计方法,学习组合逻辑的HDL描述方式 1.基本要求

(1)掌握组合电路的分析方法和设计方法

(2)熟悉组合逻辑电路的HDL设计方法,掌握设计流程图的绘制方法

(3)熟悉常用MSI组合逻辑部件(变量译码器、数据选择器)的逻辑功能,扩展方法及应用

(4)掌握译码器、数据选择器的HDL描述方式

(5)掌握由MSI器件构成组合电路的设计方法和分析方法(6)了解组合电路的竞争冒险现象及消除方法 2.重点、难点

重点:由门电路进行组合电路的设计 难点:中规模集成电路芯片应用

3.说明: 安排组合逻辑研究实验

(四)触发器(建议6学时)

学习触发器的工作原理和功能描述方法,学习触发器的HDL描述方法 1.基本要求

(1)掌握基本RS触发器及常用沿触发的(D、T、JK)触发器的逻辑功能及其描述方法(2)触发器的HDL描述方法(包括行为级描述和结构化描述)(3)熟悉常用集成触发器的逻辑符号及时序图的画法(4)掌握触发器的HDL描述方法中的沿触发与电平触发 2.重点、难点

重点: 触发器的多种描述方法

难点:触发器电路的HDL描述及时序波形

3.说明:触发器部分要求记忆逻辑符号掌握逻辑功能,对触发器内部电路不做要求,安排集成触发器实验。

(五)时序逻辑电路(建议16学时)

学习同步时序电路的分析方法和典型同步时序电路的设计方法,时序电路的HDL描述。1.基本要求

(1)掌握同步时序电路的分析方法,要求根据电路能正确列出状态表,画出状态及时序图并分析其功能

(2)了解同步时序电路的一般设计方法和步骤,掌握给定状态同步时序电路的设计方法

(3)掌握时序电路的HDL描述方法 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

(4)掌握典型MSI时序逻辑部件(74LS161、74LS194)的逻辑功能,扩展方法及应用(5)学习状态机的HDL描述方法,并掌握复杂时序逻辑电路的HDL描述方法(6)掌握以MSI为主的典型同步时序电路的分析方法与设计方法:

任意模值计数器;移位型计数器;序列码发生器(7)掌握典型时序电路的HDL描述方法

(8)了解异步时序电路的主要特点

2.重点、难点

重点:电路自启动自校正的设计;MSI时序逻辑部件的逻辑功能及应用 难点:时序逻辑点状态机HDL描述

3.说明:学习这一章后,要求能看懂器件手册,安排计数器和移位寄存器应用实验。

(六)集成逻辑门(建议3学时)1.基本要求

(1)了解典型TTL与非门的基本工作原理,掌握其主要外特性和参数(2)掌握集电极开路门和三态门的主要特点

(3)掌握MOS逻辑门(以CMOS为主)的主要特点和使用方法 2.重点、难点

重点:TTL与非门的主要外特性和参数 难点:集电极开路门

(七)脉冲波形的产生与整形(建议3学时)了解脉冲电路的分析方法 1.基本要求

(1)了解典型脉冲电路(单稳、多谐、施密特触发器)的基本特点及脉冲电路的分析方法

(2)掌握555定时器的基本工作原理及典型应用

(3)掌握晶体振荡器,施密特单稳集成电路的基本原理及使用方法。2.重点、难点 重点: 555定时器

难点:振荡电路性能提高需要考虑的因素 3.说明:安排脉冲电路的产生和整形实验。

(八)存贮器及可编程器件(建议4学时)1.基本要求

(1)掌握ROM的基本工作原理和几种不同的编程方法(2)了解静态RAM和动态RAM的基本工作原理

(3)了解可编程器件的内部结构特点, 可用资源, 主要参数和选型依据 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

(4)结合实验, 逐步掌握FPGA的仿真与设计技术 2.重点、难点

重点:ROM的基本工作原理, FPGA的仿真与设计技术 难点:ROM实现组成逻辑函数, FPGA的仿真与设计技术

(九)D/A和A/D(建议1学时)1.基本要求

(1)了解D/A和A/D转换器的基本原理和主要技术指标(2)了解典型集成D/A和A/D芯片的特点 2.重点、难点

重点:D/A和A/D转换器的主要技术指标 难点:D/A和A/D转换器的基本原理

四、布置大作业

综合设计(1)-----用VHDL设计一数字频率计(结合实验在FPGA上实现)综合设计(2)-----用VHDL设计一DDS信号发生器(结合实验在FPGA上实现)系统设计完成通过EDA软件仿真后,在FPGA系统上实现验证,期间安排两次讨论。第一次是设计方案评审和讲评,第二次的实现结果报告和讲评。

五、教学安排及方式

总学时 60 学时,讲课 56 学时。讨论4学时。实验单独开课,大作业采用开放式实验方式利用课外时间进行。

六、考核方式

1.期末笔试(以闭卷考试为主,也可开卷考试或半开卷半闭卷考试)占60% , 2.大作业----综合设计占30%, 3.平时成绩占10%.七、推荐教材与参考资料

教材:

(1)新编: 任爱锋, 孙万蓉, 周端等

(2)杨颂华等 数字电子技术基础 西安 西安电子科技大学出版社 2009 参考书:

(1)夏宇闻等译 数字逻辑基础与Verilog设计 机械工业出版社

(2)John F.Wakerly 数字设计——原理与实践(第三版 影印版)高等教育出版社

西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

第三篇:频率计(格式)数字逻辑

课程设计任务书

(指导教师填写)

课程设计名称 电子技术课程设计 学生姓名

专业班级

设计题目

简易数字频率计

一、课程设计的任务和目的

任务: 设计一个简易数字频率计,用来测量单位时间内数字信号的脉冲个数,并用数码管显示出来。

目的:

掌握简易数字频率计的设计、组装、调试方法。掌握有关集成电路的工作原理。

二、设计内容、技术条件和要求

1.设计简易数字频率计:

⑴.设计一个简易数字频率计,用于测量数字信号的频率并显示,用一个开关控制频率计的起动和停止,并可对频率计置数。

⑵.测频范围为0.1Hz到9999Hz。

⑶.测量所需时基时间可调,分1秒和10秒两档。

⑷.能连续循环测量显示,若用1秒档时要求6秒完成一个循环,其中1秒计数测量;4秒显示结果;1秒清零。然后依次循环。

2.根据上述要求,画出电路框图、原理总图。3.对原理图进行仿真。4.在实验箱上组装、调试。5.撰写设计总结报告。

三、时间进度安排

本课程设计共两周时间。第一周:理论设计

周二

布置设计任务;提出课程设计的目的和要求;讲解电子电路的一般设计方法和电子电路的安装、调试技术;明确对撰写总结报告和绘制原理总图的要求;安排答疑、实验时间。

周二至周五

学生查资料,进行理论设计,其中安排三次答疑,指导学生设计。第二周:仿真和安装调试、撰写设计总结报告 周一

交设计草图供老师审阅。

周二至周三

在EDA实验室对其设计的电路进行仿真,并可根据仿真情况修正设计以确定设计正确,能完成设计要求。周三至周四

在实验箱上进行安装、调试,并通过老师验收。最后,撰写设计总结报告、绘制原理总图。

四、主要参考文献

1.各种版本的数字电子技术基础教材; 2.各种版本的电子技术课程设计指导书;

3.集成电路手册。

指导教师签字:

2013 年12月 16 日

第四篇:数字逻辑理论

参考书(华中科技大学康华光主编第五版)

第一章 数字逻辑理论

1.1掌握占空比的概念(04年第九题提到占空比)。

1.2掌握二进制,八进制,十进制,十六进制的相互转换关系和各自的概念,以及二进制的优点。另外熟悉串行和并行两种传输方式,后面学到组合逻辑电路的时候可能会出把串行电路变成并行电路的题,1.3另外二进制加法和减法的运算以及原码。反码和补码的变换,以及带符号减法运算两种方式。后面设计加法器和减法器的时候可能会涉及到,1.4编码的那一块掌握8421码5421码2421码,能写出来,记得一年的真题中写到了要写出来这几个码,所以要掌握他们的形式,另外要知道什么是有权码,什么是无权码另外就是重点要掌握格雷码的性质和特点,并且能写出从0到15各自的格雷码形式,这是一年的考题!

1.5掌握与或非三种基本的逻辑运算和符号表示,另外就是会用开关法表示与或的关系,有一年的考题三分就是这些简单的内容,而且重复会出现。另外就是掌握与非,或非,异或,同或之间的关系和符号表示方法。这是基础,1.6了解逻辑函数的表示方法,不用特别的看,明白即可!提到哪种方式要知道,会表示。这一章一般都是考概念的题,不过他也是后面要学习的许多东西的基础。掌握了才能更明白后面的一些东西,下面把考题写下,2003 第八题第四个,2004年第八题第一个05年的第八题第一个,06年第八题第一个08年第八题第一个07年第八题第一个第三个。

第二章

2.1 掌握逻辑代数的基本形式和基本定律。,和三个基本规则,带入规则,反演规则和对偶规则。逻辑代数的化简方法这个重点看自己掌握程度吧,第二节就是讲的是卡诺图的化简方法,2.2卡诺图的化简方法要重点掌握,因为到后来时序逻辑电路设计的时候用的很多,所以2.1和2.2要做适量的题目,并且要多看几遍,增强印象,另外卡诺图的化简,书上只涉及到2个变量,3个变量,4个变量,你可以参考其他书,还有5个6个变量的情况一般不会出现,因为我没有看过,而且做每年的真题,也没有遇到变量很多的情况。另外书上只提到最小项,其实还有最大项的说法,这个要参考其他的书,因为考试的时候好像有最大项的提法,这点给的建议是参考那本学校指定的书,不过内容不多,可以大致看看,很容易明白的,还有就是可以参考重邮的那本数字电路,这个方面也有介绍,另外我的那个讲义上也讲到了自己要看明白,和那些人一起商量搞懂这些知识,另外就是卡诺图的化简方法及注意的原则要注重掌握,以及卡诺图画圈的时候应该注意的规则,把课后习题相关内容做完,明白即可。还有就是多余项的处理。要根据情况去化简。这个在设计逻辑电路的时候经常用得到,在讲义上叫随意向,记住叫法的不同。还有最大项和最小项之间的关系,就是最小项的取反,记住 本章是以后学习的基础,因为再设计逻辑电路的时候都要涉及到

这张有些东西要是你逻辑思维好的话不难,要掌握方法,因为几乎后面的每道大题基本上都要涉及到逻辑函数的化简,所以要重点掌握。否则大题中你的化简做错的情况下,电路就全错了,要注意这一点,一般情况下单独出题的情况很少,只有简答题中有几个吧,不过大体都要涉及到化简,03年第八题第五个,04年第八题的第二个05年第八题的第二个。第三个。

第三章 逻辑门电路

这个最好根据讲义和题目去看,因为我也没有看明白,这一章不算是重点,常考的内容我已经写到了讲义上。这章有许多题目我也不会,建议去听一下优酷中的那个老师讲的,是哈工大的老师讲的,在搜索中输入哈工大数字电路即可出现。下面我把这张重点考得东西写一下,有些考的我也不是很懂,三极管的原理一定要掌握,分清基极b,集电极c,和发射极e,还有就是当基极和集电极之间的电压大于0.7V的时候发射极和集电极之间是导通的,就是相当于短路,如果发射极接地的话那么集电极就相当于接地。一般复杂的电路都是根据这个原理去推断的,其他的我也不太懂,还有就是开门电平和关门电平,这个定义在重邮的那本书上有定义和详细的解释,详细的解释我已经写到了那本将以上了,认真看一下吧,开门电阻和关门电阻的含义,关门电阻就是当电阻小于0.91K欧姆的时候相当于低电平,这个就是关门电阻,开门电阻就是当电阻大于3.2k欧姆的时候相当于逻辑一,这个就是开门电阻。另外就是与非门的伏在输出特性这个是一年的考题,我当时不知道什么意思,还是重邮的那本书上有这个讲解,那个讲义上也有,也就是当与非门的发射极的电阻高于2k欧姆的时候则输出的电压时1.4V2008年的第八题的第二个就是这个题目和对应讲义上的图的解释,另外要掌握扇出系数的概念,就是讲义上的,门电路的扇出数就是在其正常工作的情况下,所能带同类门电路的最大数目,还有扇出系数的计算方法,下面就是两类特殊的门,(1)集电极开路门,OC门,这个掌握的主要是国标的画法。功能,和特点,我已经写

到讲义上了,另外就是上拉电阻的计算,我没有看懂。也没有碰到类似计算的考题,所以建议看不懂的话就别看了,不过那个公式要了解,(2)三态门,三态门的特点和功能已经写到讲义上了,(3)另外就是课本116页那个讲了多余端口(空余输入端)的处理,要记下来因为好像

重复考了几次,课本上我已经用红线表示出来了。

2007年第八题第五个说明OC门的特点和应用,08年就是与非门输出特性,05年简述三态门的特点和应用,04年第八题第四个说明与非门和或非门空余输入端的处理方法03年第六个已输入低电平为例说明TTL门电路为什么会有扇出限制(我不会,可以看看优酷上的讲解把这一章的内容搞懂)03年第八题的最后一个是简述OC门的特点和功能用途(07年重复该题)还有讲义上讲到的例题。

第四章 组合逻辑电路

4.1组合逻辑电路的分析自己会分析即可,把课本上的看完,明白其中的道理,以后再分析此类问题的时候按照书上的步骤做即可,4.2 组合逻辑电路的设计类似吧,按说应该是先讲完组合逻辑电路中的原件后再讲这些,呵呵,再设计组合逻辑电路的时候要按照此章的内容的步骤去设计即可,4.3竞争冒险,明白竞争冒险的原因,然后掌握消除竞争冒险的方法,要记下来,可能会考概念的问题,一共有三个:

(1)发现并消去互补相乘项。

(2)增加乘积项以避免互补项相加,(3)输出端并联电容器

4.4

(1)编码器:知道什么叫编码,编码的位数和符号数之间的关系要掌握,2的n次幂要大于N,n为编码位数,N为要编码的符号数。掌握42编码器和83编码器优先编码器理解即可,不需要记住,另外就是编码器的扩展要看懂,理解并掌握。还有编码器的真值表还有功能要掌握。

(2)译码器,和编码器正好相反,要掌握24译码器和38译码器和他们的功能,还有就是使能端的作用,还有要会怎么两个38译码器扩展到416译码器,这个是很重要的,书中一个例题是用1个24译码器和4个38译码器组合成一个532译码器,这个要掌握,看懂,其他的原理类似。还有就是用译码器实现一个逻辑函数,就是148页的例题。210进制译码器不需要掌握,还有七段显示译码器也不需要看。然后就到了数据分配器,其实就是一个译码器。看懂数据分配器的原理,并要记住数据分配器的应用,可能会考概念的问题,(3)数据选择器,掌握数据选择器各个端口的功能,要理解并会应用156页输出的那个表达式4.4.7,明白其中的含义,另外就是掌握数据选择器的几个应用,第一:扩展,两种扩展方式,一个是位的扩展一个是字的扩展,学了储存器以后容易理解了。一般字的扩展应用比较广泛。考题中比较多。字的扩咱就是用两个八位的数据选择器扩展为16位的数据选择器。第二:就是逻辑函数产生器,这个要掌握例题4.4.7,另外就是数据选择器的优点是无需对函数进行化简,第三个应用就是实现并行数据到串行数据的转换。这个其实要用到定时器为实现其功能。这个要看看,要明白怎么转化的,以后的考题中可能会遇到这个问题。

(4)数据比较器

掌握一位数值比较器和两位数值比较器的真值表和各个端口的作用。看看理解数值比较器的扩展,这个数值比较器出一般都是很简单的,不会涉及太深的内容。两种扩展方式要掌握。理解。

(5)加法器

第一 半加器的定义没有考虑低位进位的加法运算称为半加。掌握半加器的真值表和表示方法,以及符号中各个符号代表什么,第二:全加器的定义就是能进行加数,被加数和低位来的进位进行相加。要掌握全加器的真值表以及各个端口代表数的什么意思,163页4位串行进位全加器要掌握其中的原理,如果给出四个一位的,变成四位的就是这样变。165页加法器的扩展方式要弄懂,(6)减法器

有一年好像考过吧,不过这个比较难理解,要把167页的那个图理解了,主要是根据图相关的讲解进行理解了,好像有过要设计减法电路。要注意这方面。

4.5组合可编程逻辑器件属于存储器那一部分的内容,(暂时先省略)

这部分组合逻辑电路的设计很重要的一般情况下大概有20分左右的题目是单纯的组合逻辑电路的,还有一部分是组合逻辑电路和时序逻辑电路综合的,所以这部分掌握好很重要。这部分的例题就不一一列举了,看讲义上的那些题目弄懂。建议把课本上的习题做一遍,第五章锁存器和触发器

5.2 锁存器

锁存器是对脉冲电平敏感的存储单元电路,而触发器是对边沿敏感的电路,可以分为上升沿触发和下降沿触发。这是锁存器和触发器的区别,另外就是在讲义上根本没有锁存器的概念,只是重点讲解了各个触发器的功能。所以这一章根据历年考题来看。SR锁存器还是看看,为了防止意外考试,然后这一节重点我都用红笔画在书上了,那些就是我感觉的重点。209页消陡电路时怎么工作的,我记得当时考重邮的那位同学问过我,最好看看吧,其他的可以跳过不看。掌握了D锁存器的特点就行。至于那些传输门不用也别了解

5.3 触发器的电路结构和工作原理

触发器的类型根据将以上看吧,可能会考简答题,书上分为三种,讲义上分为四种,以讲义为主吧。主从触发器中的功能表中有一个S和R一个是置0一个是置1,这个以后再设计电路的时候可能会用到这两个按钮的作用。其他的不用看了,5.4触发器的逻辑功能(这是重点,好好看看0

(1)首先区分什么是现态什么是次态(225页课本中有定义)

(2)掌握D触发器,特想表特性方程和状态图都要掌握

(3)JK触发器同上

(4)T触发器(还有T’触发器)

(5)SR触发器(这个不是常考,但是还是要掌握看懂)

(6)各个触发器之间的转化。这个不仅仅是书上的D触发器转化为别的触发器,以后做题的过程中会用得到,掌握转换的过程,讲义上也特别的写明了。

这一章主要的内容就是掌握各个触发器的功能,并在实现逻辑功能的时候用得上。

这一章的习题就不一一写了,许多设计的题目要自己看懂。这是时序逻辑电路设计的基础。

第六章 时序逻辑电路

6.1 明白什么是次态,什么是现态。另外理解什么是输出方程,什么是激励方程。,什么是状态方程。了解时序逻辑电路的主要特征。明白什么是异步时序电路和同步时序电路。

(2)248页的例题要弄懂,三个逻辑方程组要回列,这个要做题明白是什么,会写状态表,画状态图和时序图,这个一般是分析时序电路逻辑功能的必要的步骤。

6.2 同步时序逻辑电路的分析。这一节要掌握怎么分析同步时序逻辑电路。多做题去明白。

6.2.1和6.2.2的例题不错,看懂明白,可能会有问题说最后判断电路的逻辑功能这个刚开始做题时可能不知道为什么书上会那么想。等你做很多题目的时候你就能理解了,多做题对比答案,增强分析能力。多见见这种题型,一般逻辑功能就那么几个。

6.3 同步时序逻辑电路的设计

这个是重点中的重点,一般将近=一半的分数和答题都在这。一半后面的两道答题会设计和存储器有关或者和组合逻辑电路综合的题目,同步时序逻辑电路的设计这个过程不是很麻烦,但是后面化简的时候要仔细认真,在建立原始状态表和状态化简的时候这方面一定要仔细,一半此类题目考的就是你的耐心和细心,10年倒数第二道就是一个同同步时序逻辑电路的设计题,我花了20多分钟才写完,那道题25分。

6.3.1 设计步骤这个要仔细明白每一部的内容。重点我已经划到我的书上了。

6.3.2 同步时序逻辑电路的设计举例。这一届要重点掌握它的分析方法。以及每一个步骤这个东西要多做题自己去明白和体会其中的道理。另外就是要重点明白什么是具有自启动能力,还有就是状态化简的哪一方面,有两种不同的化简方法,现在我不太清楚了,但是有一种就是不需要检查状态就是做出来就具有自启动能力,这个一定要重点掌握。因为考试的时候无论怎么样都不要做这方面的检查,因为你已经写上了,再改的话很麻烦,所以一定要掌握那种不需要检查是否具有自启动能力的化简方法。还有就是6.3.2例题中那个JK触发器的状态确定要参考书上的,但是好像讲义上给出了另外一种化简方法,要掌握讲义上的那个,因为那个就是具有自启动能力的那种。书上的化简方法也要掌握,但是做题的时候尽量多的使用讲义上的。另外就是原始状态图化简的时候出了书上的那种方法以外还有就是重邮上的那本上也有的另一种方法,有时间的话最好参考看一下,没时间的话掌握现在课本上的即可,这个要靠做题去体会了。许多问题要自己去明白了。这一节可是重点,要把课本上的题目做了,做多了才能明白。

6.4 异步时序逻辑电路的分析。这个要明白什么是异步时序逻辑电路,另外就是要会分析,这个不要求会设计,这一定要自己看明白,虽说题目考的不多甚至不考,但是有许多情况下会考的到,比如异步二进制计数器的设计什么的,很多的,学会分析即可。

6.5 若干典型的时序逻辑集成电路

(1)寄存器,这个要看看吧,主要看看每个端口的功能是干什么的,看懂功能表即可,但是好像在我记忆中没有考到这方面的设计内容。

(2)移位寄存器这个要是重点掌握的。首先掌握单项移位寄存器的特点。和基本原理,还

有多功能寄存器的特点,另外就是双向寄存器的功能表要重点掌握。还有看看环形计数器的特点

(3)计数器,这个算是每年必考的一个东西,首先掌握简单异步二进制计数器的特点和基本原理。另外就是掌握二进制计数器的状态表。还有就是74161计数器要重点掌握它们的功能。掌握各个端口放入名称,这个你们做历年真题就明白了,这个是每年都要涉及到的东西。所以要重点看看,必须掌握。另外就是计数器的扩展,这个自己要会,并且明白怎么扩展。非二进制计数器不需要掌握,看懂即可,没时间可以不看。

用集成计数器构成任意进制的计数器这个296页的要看懂,明白,要明白反馈清零法和反馈置数发的不同之处。6.5.3和6。5.4的例题 要掌握。后面涉及这方面的题目很多要重点掌握。(4)环形计数器和扭环形计数器要明白它们的状态有多少,这个我在讲义上写了,要重点看看。好像有一年的考题涉及到了。

这算是数电中最重点也是最难的一章了,一般后面的大题都要涉及到设计电路,不是组合就是时序,所以重点的这几章内容要好好掌握,这个最好要把后面的习题做一遍,自己好好掌握。不然你看一遍不做题是没有感觉的。看懂不一定代表你会了或者你会做题了。

第七章 存储器和可编程逻辑器件,这个要看看讲义上的东西了,讲义上的总结很好,掌握不同可编程逻辑器件的特点,有的或门固定与门可编程,有的相反,有的都可以编。另外就是还要掌握用可编程逻辑器件设计电路,或者实现一个逻辑表达式,这个在组合逻辑电路第五章最后的内同要涉及到,那节自己看看,这一章不算是很重点,但是他会考察一些概念的问题,有些问题我也不明白。比如08年第四题的第四个,04年EPLD和FPGA的特点。这些问题都很难的,这个靠自己去总结。

7.1.1 明白ROM的组成。333页上面明白什么是数据线和地址线,什么是字长即可,其他的不用看,7.2.4存储量扩展,这个算是这张比较重点了,因为后面有的答题就是要么扩展字数,要么扩展位数,分值也很大吧。

7.3.2 CPLD的特点看看

7.3.3FPGA的特点也要看看

这章也就是这么多了,另外就是讲义上的东西要看看,还有就是那个优酷上的视频,这章建议有些东西参考老师讲可能会更明白吧,第八章就是脉冲波形的变化与产生

说实话这章以前我看了看只是考了一些概念性的问题,比如单稳态触发器有几个状态,单稳态触发器的分类等等吧,不过10年的考题中有一道是设计一个脉冲波,好像应该用到这个章的内容,这章在大纲上没有要求但是为什么会考到我也不清楚,不过当时我们考重邮的那些同学他们是把这一张作为重点,我的建议是大家还是看看吧,我也说不清楚该怎么办。毕竟我已经考上了,我感觉即使看也要有重点的看看电路设计的哪一方面吧,关于什么参数计算的应该考不到,而且我的建议是参考一下别人的数电的教材吧,毕竟我考试的时候还没有看到关于555定时器的应用。

第九章 数模模数转换

9.1DA转换这个看看讲义把,对比课本看。因为不同的转换方式可能叫法不同,这一章占得分值不多,可能会考一些概念的问题,大家可以参考每年的真意出题的重点复习。

DA转换器的分类另外就是转换器的一些参数的比如电流或者电压的计算方法大家要掌握。还有就是他们的优缺点。也要掌握。

转换器的技术指标就是分辨率的计算应该算是考的,要掌握,课本上和讲义上的计算好像不一样要参考讲义上和他说的那本参考书上的内容。

9.2 AD转换器的一般过程掌握名字即可。量化的方法课本上和讲义上不太一样。还是要把课本上先掌握在理解将以上的东西,10年好像没有涉及到大题是关于这章的。量化的方法要掌握。并行转换的优缺点要掌握,另外就是用的几个比较器,448页我用红笔写了,要仔细看看。并且要掌握并行转换的优缺点。449页上面我用红笔画了

逐次比较型的要掌握怎么比较的,这个好像后面有题,并且写出转换后的编码,这个要看懂。理解掌握。

双积分的我把各个参数的计算方法看了看,并理解掌握了。不过好像考的题目不会过多涉及到,而且也比较难理解,我的建议是打击有时间的话看看,没有的话就了解几个参数的计算方法死记下来也可以,虽说没有考过,但是不一定以后不考。

AD转换的精度也要会计算。

这一章考点不算多,但是唯一比较难的是就是可能以前学的简单,学起来还有点费劲。数字电路的重点不算是很多而且学起来相对信号容易,因为信号需要计算和理解记忆的东西太多了,我给大家的建议就是要在不同时候参考不同的参考书,还有要和别的学校考数字电路的人多商量题目,这样对提高你的水平大有帮助,还有就是要多和考同一学校的资料要分享并且相互商量一些问题,这对提高你们自身竞争力有很大帮助。

第五篇:数字逻辑教学大纲

数字逻辑教学大纲

课程主任:执笔人: 吕强开课单位:信息工程学院编写日期: 2008-2课程编码:课程中文名称: 数字逻辑课程英文名称: Digital Logic

课程类别:专业基础课

开课对象: 软件工程专业本科 开课学期: 第4学期 学分:3 ;总学时: 48;理论课学时:48

先修课程: 电路基础、模拟电子技术

基本教材:《现代数字逻辑》作者:马义忠 常蓬彬 关少颖编著 兰州大学出版社 200

2参 考 书:

【1】数字逻辑与计算机设计基础 刘真,蔡懿慈,毕才术

【2】数字系统逻辑设计曲兆瑞山东大学出版社

一、课程的性质、目的和任务

《数字逻辑》是软件工程专业的专业基础课之一,是该专业本科生必修的主干课程。数字逻辑课程阐明了数字逻辑电路的基本概念和分析设计方法,以门电路构成的逻辑电路的“经典方法”作为基本技能训练,提高以全加器、译码器、数据选择器、计数器、寄存器以及存储 器等较复杂的逻辑器件来构成更复杂的逻辑电路的分析与设计的能力。

二、课程的基本要求

本课程注重理论与实践相结合。在教学方法上,采用课堂讲授、课堂讨论、课后自学、上习题课等教学形式。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计,了解存储器和可编程逻辑器件的性能和特点。

三、课程的基本内容及学时分配

第一章 数制和码制(学时数:2)

1. 数制

十进制、二进制、八进制、十六进制和任意进制数制

2. 数制转换

二进制和八进制、二进制和十六进制、二进制和十进制。

3. 编码

原码、反码、补码、BCD码和字符代码。

教学要求

掌握数制,数制之间的转换,码制和编码

第二章 逻辑代数基础(学时数:6)

1. 逻辑代数基本概念

2. 逻辑代数基本定律

3. 逻辑函数的标准表达式和卡诺图

4. 逻辑函数的化简

教学要求

掌握逻辑代数基本定律和基本运算规律,逻辑函数的各种表达式,利用逻辑代数和卡诺图对逻辑函数进行化简。

第三章 TTL集成门电路(学时数:6)

1. TTL与非门

2. TTL集电极开路与非门

3. 三态输出与非门

4. 其他类型的TTL门电路

教学要求

了解TTL门电路的电路结构、工作原理和外部特性,掌握门电路的逻辑功能和外部特性。

第四章 组合逻辑电路(学时数:9)

1. 组合逻辑电路的分析方法

编码器、译码器数据选择器和分配器、奇偶检测电路、比较器、加法器。

教学要求

掌握组合逻辑电路的分析方法。

第五章 集成触发器(学时数:6)

1. 基本R-S触发器

2. 电位触发的触发器

3. 主从触发器

4. 边沿触发器

教学要求

掌握触发器的基本类型及状态描写,触发器的简单应用。

第六章 同步时序电路(学时数:6)

1. 时序电路的机构与描述

2. 同步时序电路的分析方法

3. 同步时序电路的设计方法

教学要求

掌握同步时序电路的分析和设计方法。

第七章 异步时序电路(学时数:6)

1. 脉冲异步时序电路的分析

2. 脉冲异步时序电路的设计

教学要求

掌握脉冲异步时序电路的特点和分析方法。

第八章 存储器和可编程逻辑器件,VHDL语言描述数字系统简介(学时数:7)

1. MOS门电路

2. 存储器

ROM、RAM

3.可编程逻辑器件

PLD、PAL、GAL

教学要求

掌握可编程逻辑器件的结构和编程过程。

3.VHDL语言描述数字系统简介

四、课内实验安排

见实验大纲

五、习题及课外教学要求

习题课以例题分析为主,并适当安排开阔思路及综合性的练习及讨论。学时已包括在前述理论教学课时分配中。每次课堂授课都要有相应的课外作业,其内容据上课内容而定,主要是目的是巩固课堂内容,加深对所学东西的理解。

六、考核方式及成绩评定

课外作业,平时测验占30%;期末闭卷考试占70%。

下载《数字逻辑与数字系统》课程实践教学经验总结word格式文档
下载《数字逻辑与数字系统》课程实践教学经验总结.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    数字逻辑(精选5篇)

    数字逻辑复习 一.选择题 1.将逻辑表达式“ ”化简为逻辑表达式“A”,需使用公式化简法中的。 A、并项法B、吸收法C、消去法D、配项消去法 2.逻辑代数中的三种最基本的逻辑运算是......

    数字逻辑心得体会

    数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综......

    数字逻辑数字抢答器

    《数字逻辑》课程设计 实验报告 学号:姓名:班级: 指导老师: 一、简要说明 在进行智力竞赛抢答时,需要参赛者分成若干组进行抢答,究竟是谁先谁后单凭主持人的眼睛是很难判断的;在......

    数字逻辑感想

    数字逻辑实验感想 本学期我们开设了数字逻辑实验课,在实验课中,我学到了很多在平时的学习中学习不到的东西。为期六周的的实验就要画上一个圆满的句号了,回顾这六周的学习,感觉......

    数字逻辑重点

    1.基本逻辑和复合逻辑。如给出输入信号的波形,画出输出的波形,或者发过来 2.几种常见的BCD码,如8421码,2421码,5421码的转换 3.公式法化简,必考一道 4.卡诺图化简,有多余项的函数化......

    数字逻辑功能(范文大全)

    数字逻辑功能 可编程器件按集成度来区分刃分为简单PLD(LPLD)和复杂PLD(cPu)。最早的可编程逻 辑器件是熔丝编程的只读存贮器PRoM,由于结构的限制,只能完成简单的数字逻辑功能......

    数字逻辑 教案

    第1章数制与编码 (3学时) 目标:熟练掌握计算机中几个常用的数制(十、二、八、十六进制)的特点、表示形式和相互转换的方法。熟练掌握3种机器数(原码、反码和补码)的表示形式、性质......

    计算机教学研究论文:数字逻辑课程的探究性教学研究与实践

    计算机教学研究论文: 数字逻辑课程的探究性教学研究与实践 摘要:针对目前数字逻辑课程教学中存在的问题,在分析数字逻辑课程的特点、教学现状和探究性教学方法的内涵的基础上......