第一篇:等离子点火控制逻辑(小结)定稿
华能玉环电厂等离子逻辑审查会议纪要
2006年5月28日,华能浙江分公司组织烟台龙源、华东电力设计院、西安热工院、杭州意能、华能太仓电厂专家在玉环大酒店召开了等离子逻辑审查会。会上确定了等离子控制逻辑和运行方式。
1.等离子点火控制方式:
a.运行人员在DCS操作员站上进行操作,工业电视的等离子监视器上观看等离子燃烧器的图像火检画面。
b.等离子与磨煤机,油枪,FSSS保护控制相关的逻辑,在DCS系统进行组态设计,通过硬接线方式与等离子控制系统的PLC柜进行保护信号传递。c.等离子燃烧器的管理和相关仪表,电气设备的控制和显示信号由PLC柜完成,与DCS系统采用通讯方式完成操作和相关信息显示。
d.在#1,#2机组的操作员站上设置合闸、分闸按钮,对公用的电源系统进行控制。(两台炉DCS的合闸按钮相互闭锁)
2.等离子点火是在完成点火前的准备工作后(一次风机也要启动),运行人员按下等离子程控启动按钮,8只等离子发生器同时拉弧。设计有运行人员单只手动拉弧操作方式。
3.在A磨煤机启动前,有部分等离子发生器起弧不正常,由PLC自动判断,并重新拉弧(最多两次),并发出报警信号。运行人员可以手动单只拉弧。需要专家确认: 能否自动拉弧,拉弧间隔时间多少合适 讨论: 等离子灭弧故障原因很多,阴极步进电机也有个运行时间
结论:自动拉弧一次,保留手动拉弧
4.A、B层燃烧器设计有“正常启动模式”和“等离子启动模式”,切换采用操作员按钮切换。
需要专家确认: 两种启动模式的切换,是否需要设置负荷等限制条件 讨论: 切换,应该由运行人员综合考虑锅炉工况,B磨煤机投运后,尽快切换到正常启动模式。
结论:由运行人员选择,B磨煤机投运后,适当时机切换到正常启动模式
5.“正常模式”运行时,等离子燃烧器对应的A磨煤机维持原有的FSSS逻辑。6.“等离子模式”运行时,等离子燃烧器对应的A磨煤机FSSS启动条件中,增加等离子电弧(8支)均运行条件,删除油燃烧器着火的条件
需要专家确认: 能否7支等离子运行(另外的一支投入油枪),就可以启动A磨煤机。
讨论: 需要和三菱协商,是否容许油枪单只投入。启动磨煤机的条件应该从严。
结论:启磨应8支等离子发生器都拉弧成功。等三菱同意后,启动条件可以修改为至少7支等离子(另外1支投入油枪)
7.“等离子模式”下,A给煤机启动后,120秒内,任一等离子发生器灭弧且相应的油枪未投入,即判断等离子点火不成功,跳A磨煤机,将一次风机动叶关到5%开度。
需要专家确认:等离子点火不成功,能否只是跳磨煤机,不去触发MFT 讨论:综合考虑:
a.给煤机启动后,到有煤粉进入炉膛的时间
b.进入锅炉的煤粉(含自动投入的油),没有点着可能造成的危险,c.判断燃烧器着火的条件(等离子有弧,煤火检有火,联锁投入的油枪有火)
结论:跳磨煤机,触发MFT,A给煤机启动后,120秒内,任两角断弧且没火焰(煤火检无火,联锁投入的油枪无火),跳磨煤机,任意一角断弧,自动投油枪,不跳磨煤机。
8.“等离子模式”运行时,任何两角及以上等离子发生器在断弧状态且对应油枪均未投入时,跳A磨煤机。
9.“等离子模式”运行时,如A磨煤机跳闸,联跳所有等离子发生器。10. “等离子模式”下A层8支燃烧器均检测到火焰后,任意一角等离子发生器断弧时,有以下几种处理方式:
a.A磨煤机出力≤ 40 T/H且断弧角油枪未投入运行时MFT,重新点火。b.A磨煤机出力>40 T/H,延时10S自动投入相应的点火油枪,故障消除并操作员重新操作起弧成功后,手动退出相应的点火油枪。需要专家确认: 磨煤机的出力在多少,等离子灭弧,需要重新点火。讨论:考虑等离子发生器故障处理(常见的是更换阳、阴极头)时保护不能退出。结论:任意一角等离子发生器断弧时,自动拉弧一次,同时启动相应油枪一次(延时时间为油枪的投运时间),不成功跳磨。任意二角等离子发生器断弧跳磨。
11. “等离子模式”运行时,B层燃烧器对应的B磨煤机启动允许点火条件中,设计为A磨煤机运行且出力≥40T/H和等离子电弧运行条件(至少7等离子发生器有火)相与。
需要专家确认: B磨煤机启动的条件是否合适
讨论:考虑防止运行人员在A磨煤机负荷未稳定的时候,提前启动B磨煤机,可能造成喷入的煤粉不能正常燃烧,引起灭火。
结论:同意“等离子模式”运行时,B层燃烧器对应的B磨煤机启动允许点火条件中,设计为A磨煤机运行且出力≥40T/H(具体值运行后再调整,B磨煤机投运时机,在运行规程中具体规定)。
12. 在“等离子模式”下的C/D/E/F层燃烧器、“正常运行模式”下的所有层燃烧器按照FSSS正常保护进行控制。
13. 等离子燃烧器在锅炉燃烧不稳时的助燃运行方式:
a.机组正常运行中降负荷到最低稳燃负荷区时≤40%,操作员手动根据需要单只投入等离子发生器,也可以采用操作员整组启动方式。
b.机组因RB快速减负荷时,自动采用1,3,5,7方式对角投入等离子发生器,操作员也可以手动根据需要单只投入等离子发生器。
需要专家确认:机组因RB快速减负荷时是否采用自动投入等离子发生器助燃 讨论: RB发生时,有投油枪和不投油枪两种处理方式。考虑到这时锅炉切磨煤机引起燃烧工况恶化,等离子发生器投入,可以在不增加锅炉热负荷的情况下,很好的稳定A层。考虑到龙源对磨煤机高负荷情况下,对等离子燃烧器的保护条件。
结论:机组因RB快速减负荷时自动投入8支等离子助燃(前提是A磨煤机运行),10min 后A煤量>55 T/H自动停等离子发生器
14. 15. 16.
锅炉MFT时,按FSSS方式保护动作,同时所有等离子发生器跳闸,并禁在主控室光字牌上设计有 “有等离子发生器跳闸”,“载体风压低”,“燃在等离子操作画面上,显示其他的报警信息。止锅炉启动。
烧器壁温高”等报警信号。
等离子发生器的安装问题:
1,确定等离子发生器安装位置。2,RB顺序与等离子发生器使用的矛盾。结论由领导定:
1,确定等离子发生器安装位置:A磨最合适。只有一层不能摆动,与温度控制一致。备选方案C磨。
2, A磨不是主力磨时,在RB时等离子发生器不可使用
参加会议人员:
华东院: 金黔军
烟台龙源: 王新光 苗雨旺 郝欣冬 陈彦森 西安热工院: 马晓龙 赵景涛 王海涛 杭州意能: 尹峰 蒋健
华能玉环电厂: 马巧春 陈敏 王志 常毅君 时标 华能太仓电厂: 孙纪伟
第二篇:等离子点火启动经验介绍
国电东胜热电厂等离子体点火启动经验介
绍
摘 要:介绍国电东胜锅炉、磨煤机、等离子体点火、燃料特点,分析等离子体点火在国电东胜公司成功应用情况,分别从等离子体点火影响因素、点火控制参数、等离子体着火特点、运行控制策略、运行工况等方面分析了等离子体燃烧器的运行特性及存在问题,对今后推广等离子体点火启动技术的应用有借鉴作用。
关键词:等离子体煤粉细度液压加载
国电东胜发电有限公司(以下简称东胜公司)锅炉系上海锅炉厂制造的亚临界压力参数、自然循环汽包炉,单炉膛、一次中间再热、燃烧器摆动调温、平衡通风、四角切向燃烧、紧身封闭、固态排渣煤粉炉。锅炉燃用东胜本地烟煤。锅炉的制粉系统采用冷一次风机、正压直吹式制粉系统,配置5台液压变加载中速磨煤机。锅炉启动点火系统采用烟台龙源——DLZ-200型等离子体煤粉燃烧器,配有2层等离子体点火系统,配置在A、B层燃烧器上,无燃油系统。
磨煤机选型为:ZGM95G型中速、液压变加载、辊盘式磨煤机,出力10~46t/h。该型磨煤机特点适合低煤量长时间运行,主要原因:磨煤机加载压力可以较大范围变化调
整,以保持对煤种、煤量的适应性。
等离子体煤粉燃烧器选型为烟台龙源电力技术股份有限公司的DLZ-200型等离子体煤粉燃烧器,采用直流空气等离子体做为点火源,可直接引燃煤粉,实现锅炉的冷态启动。该系统主要有以下几部分组成:
等离子体发生器——产生电功率
为50~150kW的空气等离子体; 直流电源柜(含整流变压器)——
用于将三相380V电源整流成直流电,用于产生等离子体; 等离子体煤粉燃烧器——用于与
等离子体发生器配套使用,以引燃烧煤粉;
等离子体点火机理:
本装置利用直流电流(280~350A)在一定介质气压的条件下接触引弧,并在强磁场下获得稳定功率的直流空气等离子体,该等离子体在燃烧器的一次燃烧筒中形成T>5000K的梯度极的局部高温区,煤粉颗粒通过该等离子体“火核”受到高温作用,并在10-
3秒内迅速释放出挥发物,迅速燃烧。原煤主要来自内蒙古东胜周边地区,燃煤水份大,挥发份高,易着火,易磨制。两年来累计启动15次,低负荷稳燃56次(负荷低于120MW),锅炉灭火后恢复3次(未统计),等离子体在上述事件发生时,其应用特点:
经济:采用等离子体点火技术,2008年
至2009年全年使用等离子体点火系统耗时329小时,阴极头更换6次。若使
用柴油,平均每小时耗油4t/h,则消耗柴油1316t。两者比较,其维护费仅是使用柴油费用的10%以下,对于电厂,其经济费用节省是相当可观的; 环保:由于点火时不燃用油品,电除尘
装置可以在点火初期投入,因此,减少了点火初期排放大量烟尘对环境的污染;另外,电厂采用单一燃料后,减少了油品的运输和储存环节,亦改善了电
厂的环境;
简单:电厂采用单一燃料运行,简化了
系统,简化了运行方式;
安全:取消炉前燃油系统,也自然避免
了经常由于燃油系统造成的各种事故; 升温、升压更易于控制:由于在升温、升压阶段,采取单一燃料、能维持少煤量长时间运行,其升温、升压平稳,过热器、再热器未使用喷水减温,从而有效防止了蒸汽温度大幅波动。1影响等离子体点火启动的因素: 1.1)载体风压力:
根据上述载体风压力分析:等离子体在点火阶段对载体风压力要求较苛刻,5~7kPa之间。在锅炉运行中,A、B层等离子体四角燃烧器载体风压力是不完全一致,同时各角一次风速、煤粉浓度都是不均匀的,造成锅炉点火初期,各角着火效果有好有坏,此时若等离子体载体风压力发生波动,会导致个别等离子体燃烧器着火效果差存在局部煤粉爆燃隐患。
等离子体点火系统通常在机组启动、滑参数停机、及低负荷消缺过程中使用,在以上三个过程中,只有在冷态时,对等离子体载体风压力要求较为苛刻,而在热态时,对载体风压力要求不高,只要其风压在7~12kPa以内均可以引燃煤粉。
因此建议:设一套等离子体载体风系统点火系统与一套载体风冷却系统,机组启动时由罗茨风机、自动调压阀提供载体风,保证载体风系统压力稳定。正常运行时,由压缩空气(或火检冷却风机)提供载体风,实现热态备用、稳燃、冷却目的,提高锅炉启动初期安全。1.2)煤粉细度:
煤粉细度大小是影响锅炉冷态启动着火的主要因素,本锅炉启动初期控制煤粉细度在12~15%(R90)之间,煤粉细度低,易着火且稳定性好。煤粉细度大,引燃煤粉相对困难。#2炉曾经发生过:A层等离子体拉弧正常后,启动A磨后,加载压力调整至2~3MPa(经验启动参数),点不着火现象。后将加载压力调整至9.0MPa(上限),锅炉
点火正常。事后分析原因:A磨连续运行周期较长,磨棍、磨盘磨损严重,且此时加载压力低,煤粉未能充分磨制,煤粉细度大,造成点不着火事件。事后我们把磨煤机运行周期作为一个主要统计指标,来确定启动中加载压力。
1.3)一次风速控制要求:
等离子体点火初期短时内要求一次风
速在13~16m/s,在多次点火过程中调整至13~14 m/s。风速高、风量大,携带煤粉量大,煤粉细度大,对等离子体燃烧器核心温度冷却量大,导致着火后效果差,火检弱。风速低,风量小,一次风携带煤粉能力降低,容易造成磨组、粉管堵塞。由于一次风速在实际运行中不稳定,变化较大,不利于运行长期监视,通常采用控制一次粉管风压方式来控制风速。
存在问题:一次风速控制不当,容易导致磨煤机堵塞。运行中采取措施:
控制一次风速、防止磨煤机启动初期堵
塞方法:跟踪磨煤机排渣情况,始终保持给煤量 = 燃烧量 + 排渣量,使三者达到动态平衡。其中燃烧量没法衡量的,只能根据磨煤机排渣量大小判断,渣量增加,磨煤机内存煤增加,说明此时一次风量偏小,需增加一次风量,减少煤量。若磨煤机内无渣,磨煤机振动大,说明一次风量偏大,需增加煤量减少风量。
1.4)二次风门控制:
点火初期,控制A、B层二次风门在20%以下,随着着火强化,燃料量增加,两台磨煤机运行后,逐渐调整A、B层二次风门开度至40%左右。
2等离子体点火启动特点: 2.1磨煤机选型特点:
东胜公司磨煤机选型为:ZGM95G型中速、液压变加载、辊盘式磨煤机。该型磨煤机特点适合低煤量长时间运行,主要原因:磨煤机加载压力可以较大范围变化调整,以保持对煤量、煤种的适应。东胜公司磨煤机设计液压加载压力运行范围在9~15 MPa,实际运行中,发现在少煤量运行时,磨煤机振动大,多次发生损坏,现将磨煤机加载压力调整至1.5~9 MPa,磨煤机运行稳定。
机组启动初期,为控制升温、升压率,要求单台磨煤机少煤量长时间运行,通常在12 t/h以下约3~4小时,对固定加载方式磨煤机、及球磨机,低煤量运行容易发生磨煤机振动损坏、堵煤事件。采用液压变加载系统可以克服加载压力高导致磨煤机振动
损坏事件。东胜公司为防止磨煤机振动损坏,先后将磨煤机加载压力下限由9.0MPa下调至3.0MPa和1.5MPa,彻底消除了磨煤机在低负荷时振动。
2.2启动过程中如何防止汽包壁温差大 2.2.1等离子体启动点火特点:
燃油炉在点火初期,其燃尽率高,在95%以上,其放热量也是一个连续的过程,随燃油增加,其放热量也在逐步增加。区别于燃油炉,等离子体点火初期,投入煤量少、燃尽率较低,导致初期升温、升压率慢。但随着炉膛温度的不断升高,其燃尽率跃升,尤其是启动第二台磨煤机后,其燃尽率呈阶跃性变化,最终导致升温、升压率变化不规则性,控制不当将会造成汽包壁温差超限。2.2.2锅炉汽包壁温差变化特点:
对于锅炉汽包,锅炉点火后,炉水温度逐渐升高,产生蒸汽,但是,由于点火初期燃烧较弱,产生蒸汽量较少,此时,汽包内水流动很慢,由于水对汽包壁的放热系数小,汽包壁下半部金属温度升高并不多,而汽包壁的上半部与饱和蒸汽接触,蒸汽遇到较冷的汽包,壁面会凝结成水,由于蒸汽凝结放热系数比水对汽包壁的放热系数大很多,所以汽包上半部壁温上升较快,产生上、下壁温差。控制汽包内外、上下壁温差的关键是控制工质升温速度。升压速度越快,对应工质温升速度也越大。在低压阶段,升压速度应控制的慢些,而在高压阶段则其升压速度可以快些。
2.2.3控制汽包壁温差上主要采取:
冷态启动点火前,投入炉底蒸汽加热系统,(蒸汽参数:压力1.0~1.2MPa,温度300℃),通常需要4小时左右,汽包下壁温由50℃加热至85~92℃,上下壁温差控制在30℃以内。
控制初期点火后燃料量,锅炉点火后燃料量控制在8~12 t/h以内,连续运行2~3小时,汽包压力升至0.3MPa,在此过程中,汽包压力未达到0.3MPa,禁止增加燃料量。
通常在3~4小时后,汽包压力达到0.4MPa以上方允许启动第二台磨煤机(第二台磨煤机为非等离子体点火时,要求控制磨煤机入口一次风温在110℃以上,方允许启动)。
2.3低负荷稳燃、滑参数停机特点: 东胜公司#
1、2炉在2008年168小时试运后,每周一、三、五上白班进行A、B层等离子体发生器拉弧试验,每次2~5分钟,以保证等离子体点火设备可靠备用。公司曾多次发生辅机故障、低负荷消缺事件,最低负荷减至60MW,投入一层等离子体即可达到稳燃效果。2009年11月9日,#2炉因汽包水位调节异常发生锅炉MFT保护动作,锅炉灭火事件。从锅炉吹扫、汽轮机减负荷,到汽轮机带负荷正常,耗时10分钟,期间再
热蒸汽温度最低降至480℃,发电机未解列。2008年~2010年,#
1、2机组滑参数停机共计16次,汽轮机中压内缸高点金属壁温通常降至300℃以下,2009年4月21日#1机组滑停,缸温最低降至274℃,给检修预留了充足时间。
总结多次滑停成功经验:
锅炉燃烧工况稳定,热负荷降低均
匀;
磨煤机煤量调整范围大,可少煤量
长时间运行;
滑停过程中经济成本低,无燃油,消耗等离子体发生器的阴阳极材料。
滑停主要操作:最终保留两台磨煤机运行,保持一层或两层等离子体(A或B磨故障时),维持50t/h左右煤量,机组负荷在50MW左右,降低汽缸温度。
存在问题:滑停过程中,两台磨煤机运行中,其中一台磨煤机跳闸、或不出力,导致燃料释放热量大幅降低,使汽包水位发生大幅变化,调整不及时容易造成MFT保护动作。
总结:东胜公司自2008年1月24日#1机组移交生产,6月28日#2机组移交生产发电,两年来,在等离子体点火启动、低负荷消缺、辅机故障稳燃,锅炉灭火处理过程中,等离子点火系统着火稳定,稳燃效果好,启动投运快,故障率低,经济性好,得到充分证实。公司锅炉采用四角切园燃烧、固态排渣煤粉炉;制粉系统采用冷一次风、正压直吹式、液压变加载中速磨煤机;及两层等离子体点火燃烧器系统;在300MW机组中是一种非常典型组合,其适应低负荷、掺烧劣质煤能力好。结合当前环保、经济、可持续发展的要求,东胜公司锅炉配置、机组启动方式值得大力推广。
第三篇:等离子点火燃烧器一次室结焦的原因分析及改进措施
等离子点火燃烧器一次室结焦的原因分析及改进措施
【摘要】 通过对佳木斯发电厂13号炉等离子点火燃烧器存在一次室结焦问题分析,并针对该问题提出消除内部涡流、加强一次室外筒冷却的改进措施,对等离子点火燃烧器进行了改进,取得了满意的效果。【关键词】 等离子体;点火;燃烧器;一次室;结焦
燃煤锅炉启动及低负荷助燃用油是影响电厂发电成本的重要部分,开发新技术减少锅炉启动及低负荷助燃用油,降低发电成本是广大科技工作者长期研究的课题。随着世界原油价格的上涨及国内电厂竞价上网政策的出台,追求电站锅炉启动及助燃脱油的呼声越来越高,在这种背景下,提出开发等离子无油点火燃烧技术。等离子无油点火技术的开发和研究在国外已有10多年的历史,俄罗斯及澳大利亚等国家已掌握等离子点火技术,并广泛地应用于电站锅炉直接点火及低负荷助燃中。20世纪90年代初期,我国一些院校在预燃室的基础上研究等离子点火技术,由于引弧等关键技术不过关而未成功。烟台龙源电力技术有限公司在借鉴国外成功技术及总结国内失败原因的基础上,于1997年开始研究适合中国国情的等离子点火装置,1998年8月25日在实验室制造出第一台样机并引弧成功,在常温送粉的情况下,成功点燃了挥发分为13%的淄博贫煤。1999年6月开始在烟台发电厂1号炉安装贫煤型等离子点火系统进行工业性试验,2000年2月15日实现50MW机组无油点火成功。黑龙江省装机容量已突破10000MW,机组节油降耗潜力巨大。因此,省电力公司决定在佳木斯发电厂13号炉1号、3号角原油枪处安装烟煤型等离子点火系统,进行燃用烟煤的工业性试验,为该技术在黑龙江省的推广应用积累经验。1 等离子点火技术基本原理等离子点火装置的基本原理是以大功率电弧直接点燃煤粉。电弧由200kVA的直流电源柜供电,由阳极(银合金)和阴极(碳棒)产生。电弧功率在50-150kW连续可调。电弧中心温度可达6000℃。煤粉由一次风管输送给等离子点火装置,经浓淡块分离后浓相煤粉进入一次燃烧室,到达电弧核心,在该区域内煤粉与等离子体混合,迅速裂解出挥发分(比常温下析出的挥发分多23%~80%)并着火。固定碳在一次燃烧室内继续燃烧。由浓淡块分离出的淡相煤粉经均粉器后进入混合腔,与一次燃烧室喷出的火焰混合后与周界二次风混合喷人炉内继续燃烧。2 点火燃烧器一次室结焦原因分析佳木斯发电厂13号炉等离子点火装置于10月10日全部安装调试完毕。10月12日首次进行等离子点火系统的点火试验,首先进行1号角拉弧,电功率稳定在110kW,控制一次风速为25m/s,启动给粉机投粉,煤粉瞬间被点燃,喷出近4m长的火炬,由于是第一次冷态点火,参数控制不当火炬忽明忽暗,经调整一次风速控制在22~24m/s、二次风挡板开度控制在40%,火焰明亮燃烧稳定。运行1h40min后由于灭弧,造成锅炉灭火。经检查发现点火燃烧器一次室内结焦,结焦部位在浓一次风人口正对的一次室侧壁上,焦块的大小占一次室流通截面的1/3。随后进行3号角拉弧,电功率稳定在1l0kW,控制一次风速为23m/s,启动给粉机投粉进行点火试验,煤粉瞬间被点燃,运行30min灭弧,锅炉灭火,检查点火燃烧器发现在相同部位也存在结焦问题。经分析,认为是由于二次风量过大,排挤一次风,使一次风速降低,造成一次室内热负荷过高,导致结焦。依次降低二次风挡板开度为30%、20%进行点火试验,点火燃烧器一次室内结焦状况没有改变。通过分析点火燃烧器的结构和几次点火试验情况,发现一次室结焦原因是:a.由于电弧中心温度高达6000℃,使煤粉着火后在一次室内形成局部热负荷过高,一次室壁面冷却效果不好,壁温较高,易使熔融状态的煤粉结焦;b.在一次室根部浓一次风进口对面处有一涡流区,使熔融状态的煤粉在此处结焦。3 改进措施针对点火燃烧器存在的问题,提出两条改进措施:a.在一次室根部增加吹扫风,消除涡流区;b.从送风机出口风箱引一根Φ159钢管,用冷风直接冷却一次室壁面。3.1一次室根部增加吹扫风首先在一次室易结焦部位的根部开3mm宽的一条环缝,引入二次风吹扫结焦部位,消除涡流区。在燃烧器改进完成后进行点火试验,点火燃烧器运行了25min灭弧,锅炉灭火。检查点火燃烧器,发现一、二次室内结焦严重,几乎将一次室堵死。分析其原因是:a.吹扫风速偏低;b.由于手工操作,环缝开的不规范,与一次室的轴线有夹角,引入的二次吹扫风破坏了一次室内的空气动力状况,导致严重结焦。因二次风吹扫风速偏低,起不到应有的作用,因此决定用机加方法在一次室根部加工出一条lmm宽的环缝,吹扫介质用压力为0.4MPa的蒸汽进行吹扫试验。等离子点火燃烧器运行了35min灭弧,锅炉灭火。检查点火燃烧器,一次室内原结焦部位仅有少量的结焦,但由于蒸汽流速高,卷吸能力强,蒸汽夹带着煤粉,粘在阳极上,形成一层水煤浆,污染阳极造成灭弧。选择压缩空气(0.2MPa)作为吹扫气源进行试验。经过点火试验确认,用压缩空气进行吹扫和消除一次室涡流区取得了满意的效果,一次室内只有轻微结焦,解决了一次室结焦的难题。3.2冷风直接冷却一次室壁面为了降低一次室壁面温度,防止一次室内结焦,决定从送风机出口引一根声159管到一次室外壁面,用压力冷风直接冷却一次室壁面,降低壁面温度。经点火试验3h后,停点火燃烧器检查一次室,没有发生结焦现象。说明用压力冷风冷却一次室壁面防止结焦是可行的。等离子点火燃烧器改进后,经过12次锅炉启动及滑停试验,等离子点火燃烧器一次室内没有结焦现象,说明等离子点火燃烧器的改进是成功的。4 结束语烟煤型等离子点火燃烧器一次室结焦问题的解决,为烟煤型等离子点火系统在黑龙江省的推广应用奠定了坚实的基础。
第四篇:交通灯控制逻辑电路设计与总结报告
交通灯控制逻辑电路设计与总结报告
一、设计任务
用CPLD设计路口交通灯控制器
二、设计要求
1、满足一下时序要求:南北方向红灯亮,东西方向绿灯亮;南北方向绿灯亮,东西方向红灯亮;
2、每一方向的红(绿)黄灯总共维持30秒;
3、十字路口要有时间显示,具体为:当某一方向绿灯亮时,置显示器为30秒,然后以每秒减一技术方式工作,直至减到数为4秒时,红绿灯熄灭,黄灯开始间隙闪耀4秒,减到0时,红绿灯交换,一次工作循环结束,进入下一步另一方向的工作循环;
4、红绿灯均采用发光二极管;
5、设计由晶振电路产生1Hz标准秒信号的单元电路(实际秒脉冲由开发箱提供);
6、要求对整体电路进行仿真,观察并记录下仿真波形;
7、选作部分:
a、手动调整和自动控制,夜间为黄灯闪耀;
b、白天黄灯亮时,以2Hz的速度闪烁点亮四秒; c、红绿灯循环点亮时间可以自由修改。
三、设计设备
含有Quartus软件的电脑一台,可下载的试验台;
四、设计方法
使用VHDL语言进行程序的设计运行和仿真,以及波形的运行仿真,最后进行下载仿真;
五、方案论证
方案1:把整个流程分成几个进程来做;
方案2:每个进程都使用if和case语句实现功能的实现; 方案3:使用状态机来实现状态间的转换;
方案论证:
1、要实现整个流程,需要做的输出内容类型不同,如果放在一个进程里面就比较容易混淆,产生混乱。而采用分成几个进程来做的方式就明确了每个模块的内容和分工,使其调理清晰,一目了然;
2、if语句是条件语句,是VHDL语言中常用的基本语句。该流程中的变量比较繁多,而使用case语句分情况列出来,简洁明了。
3、状态机的使用格式简洁,使用简单方便,特别是在进行状态的转换时候。并行的状态转换不易出错,可将状态转换、赋值、计数等多个功能封装在某一个状态中,并且更加便于为系统添加新的状态功能。
方案选定:
通过以上分析,确定用以上方案为本次设计的方案。
六、工作原理
先对所给时钟脉冲进行分频到标准时钟脉冲;设计两个时钟控制倒计时,倒计时的时间可自由修改,由输入决定;设计另一个时钟,用以控制白天与夜间模式的转换;在白天时,根据倒计时的数字进行红绿灯的状态转换;夜间时,红绿灯状态改为夜间模式:黄灯闪烁。
七、程序设计
------交通灯控制系统所使用的库和包
-------------------------library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;
-------------------------------交通灯控制系统的实体
-------------------------entity jt is
port(clk,reset:in std_logic;
------------------时钟脉冲clk由系统直接提供,需要进行分
频;reset是启动键,当reset为1是,系统启动
t0:integer range 0 to30;
------------------红绿灯循环点亮的时间,根据输入的不同
系统运行的不同
r1,y1,g1,r2,y2,g2:out std_logic;
------------------r1为东西方向红灯,y1为东西方
向黄灯,g1为东西方向绿灯;r2为南北方向红灯,y2为南北方向黄灯,g2为南北方向绿灯
ec0,ec1,nc0,nc1:out std_logic_vector(3 downto 0));
------------------ec0,ec1分别为东西方向的倒计时间的个位和十位;
nc0,nc1分别为南北方向的倒计时间的个位和十位
end jt;-------------------------------交通灯控制系统的结构体(5个进程)
-------------------------architecture one of jt is
type state_type is(s0,s1,s2,s3);
------------------定义状态的类型
signal state:state_type;
------------------使用状态机
signal k:std_logic;
------------------分频后的1Hz的标准时钟脉冲
signal c1,c2:integer range 0 to 30;
------------------定义东西、南北方向的两个
倒计时的计数
signal t1:integer range 0 to 3600;
signal t2:integer range 0 to 24;------------------定义一个时钟,用以控制白天与夜间模式 Begin------该进程用以分频
-------------------------q0:process(clk)
variable tt:std_logic_vector(9 downto 0);
------------------中间变量
begin
if clk'event and clk='1' then
if tt=“1111101000” then
----------------------把所给1kHz分频成1Hz的脉冲
k,10000分频,仿真值用“ 0000000100”八分频
tt:=(others=>'0');
k<='1';
else
tt:=tt+1;k<='0';
end if;
end if;end process q0;-------------------------
------该进程用以设计时钟
-------------------------q1:process(k,reset)
begin
if reset='1' then
------------------启动开关为1时,系统开始运行
t1<=0;t2<=0;
------------------从0点开始 elsif k='1' and k'event then if t1=3599 then
------------------一个t1循环是一个秒,仿真值用“35” if t2=23 then
-------------------一个t1循环是一个小时,一天24小时 t2<=0;
else t2<=t2+1;end if;t1<=0;else t1<=t1+1;end if;end if;end process q1;-------------------------------该进程用以控制白天黑天模式和红绿灯状态转换
-------------------------q2:process(k,reset,t2)
begin
if t2>=5 and t2<=22 then
-------------------白天模式5:00到22:00之间
if reset='1' then
-------------------启动开关为1时,系统开始运
行,进行状态转换
r1<='0';y1<='0';g1<='1';-------------------东西方向绿灯亮 r2<='1';y2<='0';g2<='0';-------------------南北方向红灯亮 state<=s0;-------------------红绿灯处于初始状态s0 c1<=t0;
c2<=t0;-------------------倒计时从自由输入值开始递减 elsif k='1' and k'event then
case state is
when s0=>-------------------当处于第1状态时,讨论
if c1=5 then
--------------由于进程内部的并列进
行,当倒计时第4秒时,进入到第2状态s1
state<=s1;
c1<=c1-1;
c2<=c2-1;
--------------倒计时递减
elsif c2=0 then
------------倒计时减到0时,再从
初始值开始
c1<=t0;
c2<=t0;
else
----------------否则继续保持第1状态s0
state<=s0;
c1<=c1-1;
c2<=c2-1;
end if;
when s1=>-------------------当处于第2状态时,讨论
if c1=1 then--------------由于进程内部的并列进行,当
倒计时第t0秒时,进入到第3状态s2
state<=s2;
c1<=c1-1;
c2<=c2-1;
else----------------否则继续保持第2状态
state<=s1;
c1<=c1-1;
c2<=c2-1;
end if;
when s2=>-------------------当处于第3状态时,讨论
if c2=5 then--------------由于进程内部的并列进行,当倒计时第4秒时,进入到第4状态s3
state<=s3;
c1<=c1-1;
c2<=c2-1;
elsif c1=0 then
------------倒计时减到0时,再从初
始值开始
c1<=t0;
c2<=t0;
else
----------------否则继续保持第3状态
state<=s2;
c1<=c1-1;
c2<=c2-1;
end if;
when s3=>-------------------当处于第4状态时,讨论 if c2=1 then--------------由于进程内部的并列进行,当倒
计时第t0秒时,进入到第1状态s0
state<=s0;
c1<=c1-1;
c2<=c2-1;
else----------------否则继续保持第4状态
state<=s3;
c1<=c1-1;
c2<=c2-1;
end if;
when others=> state<=s0;
end case;if state=s0 then
r1<='0';y1<='0';g1<='1';
r2<='1';y2<='0';g2<='0';elsif state=s1 then
r1<='0';g1<='0';
r2<='1';y2<='0';g2<='0';
if c1=4 or c1=2 then y1<='1';
else y1<='0';
end if;elsif state=s2 then
r1<='1';y1<='0';g1<='0';
r2<='0';y2<='0';g2<='1';elsif state=s3 then
r1<='1';y1<='0';g1<='0';
r2<='0';g2<='0';
if c2=4 or c2=2 then y2<='1';
else y2<='0';
end if;end if;
--各个状态的红绿灯变量的赋值,‘1’亮‘0’熄 end if;else-------------------夜间模式22:00到次日5:00之间
c2<=0;c1<=0;r1<='0';r2<='0';g1<='0';g2<='0';y1<=k;y2<=k----------------红绿灯的状态:脉冲k赋给黄灯变量,黄灯闪烁
end if;end process q2;-------------------------------该进程用于东西方向倒计时的译码显示
-------------------------q3:process(c1)
begin case c1 is when 0=>ec0<=“0000”;ec1<=“0000”;when 1=>ec0<=“0001”;ec1<=“0000”;when 2=>ec0<=“0010”;ec1<=“0000”;when 3=>ec0<=“0011”;ec1<=“0000”;when 4=>ec0<=“0100”;ec1<=“0000”;when 5=>ec0<=“0101”;ec1<=“0000”;when 6=>ec0<=“0110”;ec1<=“0000”;when 7=>ec0<=“1000”;ec1<=“0000”;when 9=>ec0<=“1001”;ec1<=“0000”;when 10=>ec0<=“0000”;ec1<=“0001”;when 11=>ec0<=“0001”;ec1<=“0001”;when 12=>ec0<=“0010”;ec1<=“0001”;when 13=>ec0<=“0011”;ec1<=“0001”;when 14=>ec0<=“0100”;ec1<=“0001”;when 15=>ec0<=“0101”;ec1<=“0001”;when 16=>ec0<=“0110”;ec1<=“0001”;when 17=>ec0<=“0111”;ec1<=“0001”;when 18=>ec0<=“1000”;ec1<=“0001”;when 19=>ec0<=“1001”;ec1<=“0001”;when 20=>ec0<=“0000”;ec1<=“0010”;when 21=>ec0<=“0001”;ec1<=“0010”;when 22=>ec0<=“0010”;ec1<=“0010”;when 23=>ec0<=“0011”;ec1<=“0010”;when 24=>ec0<=“0100”;ec1<=“0010”;when 25=>ec0<=“0101”;ec1<=“0010”;when 26=>ec0<=“0110”;ec1<=“0010”;when 27=>ec0<=“0111”;ec1<=“0010”;when 28=>ec0<=“1000”;ec1<=“0010”;when 29=>ec0<=“1001”;ec1<=“0010”;when 30=>ec0<=“0000”;ec1<=“0011”;----------------------------当在设定范围内时,分别为个位十位译码并显示
when others=>ec0<=“0000”;ec1<=“0000”;
-------------------------否则,LED灯显示0
end case;
end process q3;-------------------------------该进程用于南北方向倒计时的译码显示
-------------------------q4:process(c2)
begin
case c2 is when 0=>nc0<=“0000”;nc1<=“0000”;when 1=>nc0<=“0001”;nc1<=“0000”;when 2=>nc0<=“0010”;nc1<=“0000”;when 3=>nc0<=“0011”;nc1<=“0000”;when 4=>nc0<=“0100”;nc1<=“0000”;when 5=>nc0<=“0101”;nc1<=“0000”;when 6=>nc0<=“0110”;nc1<=“0000”;when 7=>nc0<=“0111”;nc1<=“0000”;when 8=>nc0<=“1000”;nc1<=“0000”;when 9=>nc0<=“1001”;nc1<=“0000”;when 10=>nc0<=“0000”;nc1<=“0001”;when 11=>nc0<=“0001”;nc1<=“0001”;when 12=>nc0<=“0010”;nc1<=“0001”;when 13=>nc0<=“0011”;nc1<=“0001”;when 14=>nc0<=“0100”;nc1<=“0001”;when 15=>nc0<=“0101”;nc1<=“0001”;when 16=>nc0<=“0110”;nc1<=“0001”;when 17=>nc0<=“0111”;nc1<=“0001”;when 18=>nc0<=“1000”;nc1<=“0001”;when 19=>nc0<=“1001”;nc1<=“0001”;when 20=>nc0<=“0000”;nc1<=“0010”;when 21=>nc0<=“0001”;nc1<=“0010”;when 22=>nc0<=“0010”;nc1<=“0010”;when 23=>nc0<=“0011”;nc1<=“0010”;when 24=>nc0<=“0100”;nc1<=“0010”;when 25=>nc0<=“0101”;nc1<=“0010”;when 26=>nc0<=“0110”;nc1<=“0010”;when 27=>nc0<=“0111”;nc1<=“0010”;when 28=>nc0<=“1000”;nc1<=“0010”;when 29=>nc0<=“1001”;nc1<=“0010”;when 30=>nc0<=“0000”;nc1<=“0011”;---------------------------当在设定范围内时,分别为个位十位译码并显示
when others=>nc0<=“0000”;nc1<=“0000”;
end process q4;-------------------------end architecture one;
----所有进程结束-------------------------
八、仿真结果
1、clk为输入脉冲;开始令reset为’1’,启动系统,系统正常工作后值为’0; t0为红绿灯循环时间,可自由修改,如果输入值为’0010000’,则为16秒倒计时。如下图:系统从0点开始运行,处于夜间模式时,倒计时都为“00”,两个方向都为黄灯闪烁,红绿灯都熄灭。
---------------------------否则,LED灯显示0
end case;2、5:00之后,进入到白天模式:从第1状态(东西方向绿灯亮、南北方向红灯)开始,倒计时从16秒开始递减。倒计时第4秒后进入第2 状态(东西方向黄灯闪烁,南北方向红灯亮)。
3、倒计时第0秒后进入第3 状态(东西方向红灯亮,南北方向绿灯亮),倒计时再从16秒开始递减;倒计时第4秒后进入第4 状态(东西方向红灯亮,南北方向黄灯闪烁);倒计时第0秒后进入第1 状态(东西方向绿灯亮,南北方向红灯亮),倒计时再从16秒开始递减
。如此循环。
4、当计时器到22:00时,再次进入夜间模式:东西南北方向黄灯闪烁;到次日5:00时又回到白天模式。如此循环。
九、讨论与改进
特点:
1、在整个设计过程中,分进程实现整个功能,简单明了;
2、状态机的功能和优点得到了较为完整的体现;
3、分夜间和白天模式不同,系统自动调节;
4、黄灯以闪烁的形式亮;系统运行后红绿灯循环点亮的时间也可自由修改。不足:
1、整个系统启动后从夜间0点开始运行,而不能随意调为哪个时间的交通灯状态,只能从程序中改,不能手动调节;
2、整个功能还是比较简单,未能分左右转和直行的情况;
3、紧急情况处理时也无特殊处理的设置。
改进:
1、在进程中可增加一个输入量,用以控制系统的时钟时间,方便调节模式和交通灯状态;
2、在进程中可加入几个红绿灯状态来分别控制东西方向和南北方向的左转、右转和直行的红绿灯状态;
3、在原有程序的基础上,可通过增加一个输入量来控制一种特殊状态,用以控制特殊情况的处理,视该特殊情况而定。
二○一○年九月三日
第五篇:PID控制小结
PID控制小结
在PID参数进行整定时如果能够有理论的方法确定PID参数当然是最理想的方法,但是在实际的应用中,更多的是通过凑试法来确定PID的参数。
增大比例系数P一般将加快系统的响应,在有静差的情况下有利于减小静差,但是过大的比例系数会使系统有比较大的超调,并产生振荡,使稳定性变坏。增大积分时间I有利于减小超调,减小振荡,使系统的稳定性增加,但是系统静差消除时间变长。
增大微分时间D有利于加快系统的响应速度,使系统超调量减小,稳定性增加,但系统对扰动的抑制能力减弱。在凑试时,可参考以上参数对系统控制过程的影响趋势,对参数调整实行先比例、后积分,再微分的整定步骤。
PID控制原理:
1、比例(P)控制 :比例控制是一种最简单的控制方式。其控制器的输出与输入误差信号成比例关系。当仅有比例控制时系统输出存在稳态误差。
2、积分(I)控制 :在积分控制中,控制器的输出与输入误差信号的积分成正比关系。对一个自动控制系统,如果在进入稳态后存在稳态误差,则称这个控制系统是有稳态误差的或简称有差系统。为了消除稳态误差,在控制器中必须引入“积分项”。积分项对误差取决于时间的积分,随着时间的增加,积分项会增大。这样,即便误差很小,积分项也会随着时间的增加而加大,它推动控制器的输出增大使稳态误差进一步减小,直到等于零。因此,比例+积分(PI)控制器,可以使系统在进入稳态后无稳态误差。
3、微分(D)控制 :在微分控制中,控制器的输出与输入误差信号的微分(即误差的变化率)成正比关系。自动控制系统在克服误差的调节过程中可能会出现振荡甚至失稳。其原因是由于存在有较大惯性组件(环节)或有滞后组件,具有抑制误差的作用,其变化总是落后于误差的变化。解决的办法是使抑制误差的作用的变化“超前”,即在误差接近零时,抑制误差的作用就应该是零。这就是说,在控制器中仅引入“比例”项往往是不够的,比例项的作用仅是放大误差的幅值,而目前需要增加的是“微分项”,它能预测误差变化的趋势,这样,具有比例+微分的控制器,就能够提前使抑制误差的控制作用等于零,甚至为负值,从而避免了被控量的严重超调。所以对有较大惯性或滞后的被控对象,比例+微分(PD)控制器能改善系统在调节过程中的动态特性。PID控制器参数整定的一般方法:
PID控制器的参数整定是控制系统设计的核心内容。它是根据被控过程的特性确定PID控制器的比例系数、积分时间和微分时间的大小。PID控制器参数整定的方法很多,概括起来有两大类:
一是理论计算整定法。它主要是依据系统的数学模型,经过理论计算确定控制器参数。这种方法所得到的计算数据未必可以直接用,还必须通过工程实际进行调整和修改; 二是工程整定方法,它主要依赖工程经验,直接在控制系统的试验中进行,且方法简单、易于掌握,在工程实际中被广泛采用。PID控制器参数的工程整定方法,主要有临界比例法、反应曲线法和衰减法。三种方法各有其特点,其共同点都是通过试验,然后按照工程经验公式对控制器参数进行整定。但无论采用哪一种方法所得到的控制器参数,都需要在实际运行中进行最后调整与完善。
现在一般采用的是临界比例法。利用该方法进行 PID控制器参数的整定步骤如下:(1)首先预选择一个足够短的采样周期让系统工作;(2)仅加入比例控制环节,直到系统对输入的阶跃响应出现临界振荡,记下这时的比例放大系数和临界振荡周期;(3)在一定的控制度下通过公式计算得到PID控制器的参数。
PID参数的设定:是靠经验及工艺的熟悉,参考测量值跟踪与设定值曲线,从而调整P、I、D的大小。书上的常用口诀:
参数整定找最佳,从小到大顺序查; 先是比例后积分,最后再把微分加; 曲线振荡很频繁,比例度盘要放大; 曲线漂浮绕大湾,比例度盘往小扳; 曲线偏离回复慢,积分时间往下降; 曲线波动周期长,积分时间再加长; 曲线振荡频率快,先把微分降下来; 动差大来波动慢。微分时间应加长; 理想曲线两个波,前高后低4比1; 一看二调多分析,调节质量不会低。
个人认为PID参数的设置的大小,一方面是要根据控制对象的具体情况而定;另一方面是经验。P是解决幅值震荡,P大了会出现幅值震荡的幅度大,但震荡频率小,系统达到稳定时间长;I是解决动作响应的速度快慢的,I大了响应速度慢,反之则快;D是消除静态误差的,一般D设置都比较小,而且对系统影响比较小。PID参数怎样调整最佳(1)整定比例控制
将比例控制作用由小变到大,观察各次响应,直至得到反应快、超调小的响应曲线。(2)整定积分环节
若在比例控制下稳态误差不能满足要求,需加入积分控制。
先将步骤(1)中选择的比例系数减小为原来的50~80%,再将积分时间置一个较大值,观测响应曲线。然后减小积分时间,加大积分作用,并相应调整比例系数,反复试凑至得到较满意的响应,确定比例和积分的参数。(3)整定微分环节
若经过步骤(2),PI控制只能消除稳态误差,而动态过程不能令人满意,则应加入微分控制,构成PID控制。先置微分时间TD=0,逐渐加大TD,同时相应地改变比例系数和积分时间,反复试凑至获得满意的控制效果和PID控制参数。