“数字黑洞”及其简易证明

时间:2019-05-13 09:03:09下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《“数字黑洞”及其简易证明》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《“数字黑洞”及其简易证明》。

第一篇:“数字黑洞”及其简易证明

“数字黑洞”及其简易证明

安徽省芜湖县大闸中学林闯2411

21近年来,在各级各类数学竞赛或数学考试中屡屡出现一类所谓的“数字黑洞”问题。这类问题既有趣、又神秘,还很怪异,往往让人琢磨不透.而教辅杂志或互联网上的相关文章大多数总是惊叹这些“数字黑洞”是如何的奇妙,如何的乖巧,却对它们的内在奥秘闭口不提.即使是少数专业杂志上给出了严格的证明,但一般也用到了较高深的数论知识,非普通读者可以轻松阅读.笔者经过仔细研究,对一些常见于书报的“数字黑洞”得到了一些相对浅显的、变通的证明,目的是想让更多的读者不光“知其然”,而且“知其所以然”.通过这些简易的证明,足以让读者承认这些“数字黑洞”的真实存在,并且能够透视出真正操纵它们的“幕后黑手”.下面,笔者就来给读者朋友们介绍几个著名的“数字黑洞”及其简易证明.问题1:(2003年青岛市中考数学试题)探究数字“黑洞”:“黑洞”原指非常奇怪的天体,它体积小,密度大,吸引力强,任何物体到了它那里都别想再“爬”出来.无独有偶,数字中也有类似的“黑洞”,满足某种条件的所有数,通过一种运算,都能被它“吸”进去,无一能逃脱它的魔掌.譬如:任意找一个3的倍数的数,先把这个数的每一个数位上的数字都立方,再相加,得到一个新数,然后把这个新数的每一个数位上的数字再立方、求和,„,重复运算下去,就能得到一个固定的数T=,我们称它为数字“黑洞”.T为何具有如此魔力?通过认真的观察、分析,你一定能发现它的奥秘!

分析:如果我们先取18,首先我们得到1383513,然后是

F531333153,接下去又是153,于是就陷在“153153”(F代表上述的变换规则,下同)这个循环中了。

再举个例子,最开始的数取756,我们得到下面的序列:

FFFFF7566847921080513153

F153”这个循环中。随这次复杂了一点,但是我们最终还是陷在“153

便取一个其他的3的倍数的数,对它进行这一系列的变换,或迟或早,你总会掉

F153”这个“死循环”中,或者说,你总会得到153.于是我们可以到“153

猜想“黑洞”T=153.现在要讨论的问题是:是否对于所有的符合条件的自然数都是如此呢?

西方把153称作“圣经数”。这个美妙的名称出自圣经《新约全书》约翰福音第21章.其中写道:耶稣对他们说:“把刚才打的鱼拿几条来.” 西门· 彼得就去把网拉到岸上.那网网满了大鱼,共一百五十三条;鱼虽这样多,网却没有破.圣经数这一奇妙的性质是以色列人科恩发现的。英国学者奥皮亚奈,对此作出了证明.《美国数学月刊》对有关问题还进行了深入的探讨.以下笔者给出一种中学生可以看得懂的验证方法.具体探究步骤是:页 第 1 页共

1.设nx1x2xk,当k5时,有

FnFx1x2xkF99993k<103k

又由指数函数的性质(上高中时会学到),可得,k<10k4,所以 103k<

10310k410k1即FnFx1x2xk<10k1,也就是对于5位以上的整数,每

做一次变换它的数位都会减少若干位,所以经过有限次变换后其数位必然收缩到

五位以下.2.现在的问题归结为探讨4位及4位以下的整数n的“黑洞”是否存在的问题,于是问题就变得简单的多了.对于1位数和2位数我们可以很轻松地验证

不存在“黑洞”,而对于任意一个3位数或4位数,因为每个数的操作步骤的不

确定性和无法预测性,所以很难用一个纯粹的、数学的方法来证明它一定会掉进

F153”这个循环中,笔者也没有见到可以浅显地证明它的相关文章.“153

但是,因为我们所要验证的数字的个数是有限个,所需要进行的推算也应该是有

限步(如果不出意外的话),所以我们完全可以让计算机来完成这有限步的验算

工作.对计算机编程感兴趣的读者可以自己动手(或向计算机老师请教)来编制一

个简单的程序:对所有4位数以内的3的倍数,即从3到9999这3333个自然数

进行一一验证,最后你会惊奇地发现,所有的3的倍数经过一系列的规定运算后

无一例外地都会掉进153这个数字“黑洞”之中.这也应该算是一个“人机联手”的证明范例吧!

问题2:(西西弗斯串)任取一个自然数数串,例如35962,数出这数中的偶

数字个数、奇数字个数及所有数字的个数,就可得到2、3、5,用这3个数组成下一个数字串235.对235重复上述程序,就会得到1、2、3,将数串123再重复

进行,仍得123.于是123就是一个数字黑洞.分析:读者肯定会问,是否对于每一个数最后都能得到123呢?用一个大数

试试看。例如:***92222,在这个数中偶数字、奇数字及全部数字

个数分别为11、9、20,将这3个数合起来得到11920,对11920这个数串重复

这个程序得到235,再重复这个程序得到123,于是便进入“黑洞”了.这就是的数字黑洞“西西弗斯串”.它也是因为一个著名的古希腊神话而得名.我国大多数数学爱好者最早了解这个数字黑洞,大概是得益于美国宾夕法尼

亚大学教授米歇尔埃克的《数学黑洞》一文,此文曾被连载在《参考消息》199

3年3月14日—17日的报纸上.然而遗憾的是,连这位著名的大数学家米老师也

不能给出一个让人信服的证明.但令人振奋的是,9年后的2002年,我国北京师

范大学附属中学的王雪琴老师却给出了一个巧妙的、简洁的证明.有兴趣的读者

可以去研读文[1].问题3:(角谷猜想)任取一个自然数,如果它是偶数,我们就把它除以2,如果它是奇数,我们就把它乘3再加上1.在这样一个变换下,我们就得到了一

个新的自然数.如果反复使用这个变换,我们就会得到一串自然数.或迟或早,你

总会掉到4→2→1这个循环中,或者说,你总会得到1.分析:这个问题大约是在二十世纪五十年代被提出来的.在西方它常被称为

西拉古斯(Syracuse)猜想,因为据说这个问题首先是在美国的西拉古斯大学被研

究的;而在东方,这个问题由将它带到日本的日本数学家角谷静夫的名字命名,被称作角谷猜想.角谷静夫在谈到这个猜想的历史时讲:“一个月里,耶鲁大学的所有人都着

力于解决这个问题,毫无结果。同样的事情好象也在芝加哥大学发生了.有人猜

想,这个问题是苏联克格勃(前苏联特工组织——作者注)的阴谋,目的是要阻

碍美国数学的发展。不过我对克格勃有如此远大的数学眼光表示怀疑.这种形式

如此简单,解决起来却又如此困难的问题,实在是可遇而不可求.”

比如说我们先取5,首先我们得到3×5+1=16,然后是16÷2=8,接下去是4,2和1,由1我们又得到4,于是我们就陷在4→2→1这个循环中了.再举个例子,最开始的数取7,我们就会得到下面的序列:

7→22→11→34→17→52→26→13→40→20→10→5→16→8→4→2→1这次复杂

了一点,但是我们最终还是陷在4→2→1这个循环中.随便取一个其他的自然数,对它进行这一系列的变换,或迟或早,你总会掉到4→2→1这个循环中,或者说,你总会得到1.已经有人用计算机对所有小于100×250=***400的自

然数进行验算,无一例外.那么,是否对于所有的自然数都是如此呢?这看起来

是个多么简单的问题啊!但读者朋友们可千万别小看这个“简单”得连小学二、三年级学生都能看懂的问题,要想证明它却是非常之难!二十多年前,有人向伟

大的匈牙利数论学家保尔·厄尔多斯(Paul Erdos)介绍了这个问题,并且问他怎

么看待现代数学对这个问题无能为力的现象,厄尔多斯回答说:“数学还没有准

备好来回答这样的问题.”

这种神奇的力量不知来自何方,是否可解释为一个很大的或很小的输入,最

终都能得到一个稳定的输出,使一个无限的宇宙缩小为一个可控制的有限的宇宙

呢.多么有趣的数字黑洞呀!

这里给读者提供一个QBASIC小程序,用来快速验证角谷猜想。

REM──验证角谷猜想──

INPUT “N=”;N

PRINT N; “→”;

IF N=1 THEN PRINT 1: END

IF N/2=INT(N/2)THEN N=N/2 ELSE

N=3*N+

1IF N>1 THEN PRINT N;“→”;:GOTO 40

RUN

问题4:(2004年全国初中数学联赛CASIO杯武汉选拔赛试题)重排任一个

三位数三个数位上的数字(三个数字不完全相同),得到一个最大的数和一个最

小的数,它们的差构成另一个三位数(允许百位数字为零)。再重复以上过程,问重复2003次后所得的数是多少?证明你的结论.分析:例如 103,310-013=297,972-279=693,963-369=594,954-459=495.再比如518,851-158=693,963-369=594,954-459=495.这显然是一个三位数的数字“黑洞”问题,这个“黑洞”就是495.所以原问题的答案是495.简证:任取一个三位数nabca、b、c为0到9的数字,不妨设a≤b≤c.因为a、b、c不完全相同,所以两个等号不可能同时取到.即1≤c-a≤9.∴ FnFabccbaabc100c10ba100a10bc99ca ∴ Fn099,198,297,396,495,594,693,792,891.FFFFFF891792693594495495 而099

FFFF19879269359449

5FFF297693594495

FF396594495证毕.问题5:(卡布列卡猜想)印度数学家卡布列卡在研究数学问题时发现一个有趣的现象:用不完全相同的四个数字组成一个四位数,将组成这个四位数的四个数字重新排序,组成一个最大的数和一个最小的数,并用最大的数减去最小的数,对减得的差再重复上述操作,差如果不够四位数时,用零补位。不断地做下去,最后变成了一个固定不变的数:6174.卡布列卡做过大量的试验,结果不论从任何满足条件的四位数开始,最后总能变成6174.因此,卡布列卡风趣地把6174叫做卡布列卡常数.分析:例如,我们从4231开始,首先把4231重新排列成4321和1234,两数相减得3087;再把3087重新排列成8730和0378,两数相减得8352;再把8352重新排列成8532和2358,相减得6174;再把6174重新排列成7641和1467,两数相减仍然得6174.4231:4321-1234=30873087:8730-0378=8352;

8352:8532-2358=6174;6174:7641-1467=6174.再比如对于3109,9310-0139=9171,9711-1179=8532,8532-2358 =6174。而6174这个数也会变成 6174,7641-1467=6174.这是一个四位数的数字“黑洞”问题,“黑洞”就是6174.前苏联作家高基莫夫在其所著的《数学的敏感》一书中,曾把它列作“没有揭开的秘密”。事实上,这里的证明方法完全类似于问题4的“简证”,只不过是讨论的情形多几种罢了.请读者自行证明,在此不再赘述.于是乎这个“卡布列卡猜想”在今天应该改名为“卡布列卡定理”了.有时候“黑洞”并不仅仅只有一个数,而是有好几个数,它们像走马灯一样兜圈子,但又仿佛孙悟空跌进了如来佛的手掌心。例如,对于五位数,已经发现

了两个“圈”,它们分别是{63954,61974,82962,75933}与{62964,71973,83952,74943}。有兴趣的读者不妨自己验证一下。

问题6:(神秘的9)对于任意一个两位以上的m位自然数,如果重新任意排列这些数字,构成另一个m位数,在这两个数中,用较大的数减去较小的数,得到一个差,把差的各个数位上的数字加起来,如果是m1位数,就再把它的m1个数字加起来,如此下去,最后得到的总是9。

例如任取七位数1879314,如果重新排列这些数字,任意构成一个七位数(例如3714819),在这两个数中,用较大的数减去较小的数得到的差1835505,把差的各个数位上的数加起来,得到一个两位数,就再把它的两个数字加起来,最后得到的是9。(如1+8+3+5+5+0+5=27,2+7=9).又比如取两位数37,73-37=36,3+6=9.再比如取27位数***666777888999,有

***555666777888-***666777888999

=***888888888889,8×25+7+9=216,2+1+6=9.怎么样,服不服?不服你再用别的数字试一试?!这里又有怎样的玄机呢? 简证:为表达的方便,下面以五位数为例给出一种证明思路.设nabcde,任意重排数字后得到的一个数是n’cedba.不妨设n>n’,则 xabcdecedba10000a1000b100c10de10000c1000e100d10ba9999a990b9900c90d999e

91111a110b1100c10d111e

显然x是9的倍数.令x的数位上的数字之和是Sx,则Sx也是9的倍数.∵x最多是五位数,∴Sx9,18,27,36或45.而上述5个数的数位上的数字之和都为9.对于其他任意多位自然数的情形,证明思路完全相同,只是表达的不同而已.最后笔者要指出的是,上面这些形式上很简单的问题,要想理解它们真的很容易,所以每一个数学爱好者都可以来碰碰运气,试试是不是能证明它.不过在这里要提醒大家的是,象角谷猜想这样的问题,已经有无数的数学家和数学爱好者尝试过,其中不乏天才和世界上第一流的数学家,但他们都没有成功.如果你想在几小时之内就找到一个漂亮的“证明”,那几乎是异想天开,“白日做梦”.也许有的读者会说,假如有一个很大的正整数,经过演算结果得不到1怎么办?那确实是一个了不起的发现,你就等于是把角谷猜想推翻了!不过,最好还是不要急于在这些问题上花太多的时间,只有现在打下良好、坚实的基础,才能向这样的数学高峰攀登,也才有可能获得成功.参考文献:

1.王雪琴.一个数串猜想的证明.中学数学教学参考,2002,(1、2).2.[美]米歇尔埃克.数学黑洞.参考消息,1993,(3月14日—17日).3.陈星火.用计算机在局部范围内验证数学猜想.中学生数学,2001(11).附录:作者简介

林闯,男,1973年生,中共党员,数学教育专业本科

学历,中学一级教师,安徽省芜湖县大闸中学数学教师,数学教研组组长,芜湖县首批“教坛新星”、“骨干教师”。本人在紧张的教育教学工作之余,热心于解题研究和趣味数学研究工作。近年来成果颇丰,有关研究论文在省、市、县各级评比中屡屡获奖,并先后在《中学数学研究》(广

州)、《考试》、《学习方法报》、《初中生数学学习》、《中国

教育科研与实践》、《初中数语外辅导》、《时代数学学习》、《现代中学生》、《数学大世界》、《数理天地》、《中学教育

科研》、《中学生数学》、《初中数学教与学》等杂志发表教

育教学论文和解题研究文章20余篇。

联系方式:

Tel:(办)0553-8241107(宅)0553-8241131(手)***E-mail:linchuang2005@sohu.com

第二篇:《数字黑洞》论文

横看成岭侧成峰,远近高低各不同

——由《数字黑洞》引出的思考

在今年春光明媚的4月,全国各地的数学教师相聚在西子湖畔,参加“千课万人”全国小学数学生本课堂教学研讨观摩活动,而我有幸成为其中一员,去聆听专家教授的授课,与全国各地的小学数学教师一起接受最新的数学理念。在这4天的学习中,最让我难忘的是华应龙老师的《多位数减法练习课》。也许,有的老师会说,不就是练习课嘛,练习课除了做题还是做题,还能翻出什么花样来,但是,华应龙老师的这堂课,却让我回到了童年,回到了我的小学课堂上,我在课堂中认真听讲、认真思考。

练习课的质量取决于什么?练习课的价值是巩固所学的知识,形成一定的技能,发展学生的思维,激发起进一步学习的兴趣。因此,一节练习课肯定需要一定量的练习。可是,衡量一节练习课的质量就是看练习题的数量吗?真是那样的话,“熟能生巧”的同时就“熟能生厌”了。与传统的练习课相比,华应龙老师的课没有丝毫的练习课的味道,但是却达到了练习课的目的。从杭州听课回来后,我也摸索着,找了资料,准备了这一堂汇报课。

【课堂回放】

情境导入:看似成功,实则失败

故事情境导入,提出问题:旅行箱设置什么密码?

讲故事:(出示图片)小明将要参加一个夏令营,他的爸爸给他买了一个带密码的旅行箱。他很高兴,但过后,他又想:万一我把密码忘了,可怎么办啊?我应该设什么密码好呢?这时,他爸爸说:“儿子,我们一起玩个游戏,做完这个游戏,你就能知道密码设什么最好,即使你把密码忘了,也能把这个密码很快的找到。”同学们,你们想一起玩这个游戏吗?

学生很有兴致的回答:想。

师:小明的爸爸对他说:你看看这个密码箱上的密码是由几位数字组成的? 学生仔细观察图片后,齐答:三位。

师:好,那你就写出三个不同的数字。(师板书:三个不同数字)也请同学们在你的练习本上写出三个不同的数字。师:你来说说写的是什么数字? 生:我写的是367。师:他说的对吗?应该是什么? 生:3、6、7,因为他说的是数字。师:这三个数字可以吗?为什么? 生:可以,他是用了3个不同的数字。师:老师写的是3、6、12,这样写行不行? 生齐说:不行不行—— 师:为什么不行? 生:12是由两个数字组成的,这样就是有四个不同的数字了。师:对,我这里的要求是三个不同的数字,而3、6、12是三个不同的数,不是三个不同的数字。师:小明写的是这样三个数字:4、7、5。(继续幻灯片出示)小明的爸爸说:你用这三个数字,组成一个最大数(板书:最大数)大家一起说小明举出的这几个数字组成的最大数是——

生齐答:754(师板书)师:再组一个最小数。生齐答:457(师板书)

师:组完以后,用最大数减去最小数,这道题就是754—457,我们一起来计算。

(教师带着学生说计算的过程并板书。)

师:计算的结果是297,这样又得到了三个不同的数字。接着再用这三个数字,再组成最大的数和最小的数,分别是多少?再用这两个数相减,又得到三个数字,然后呢?

师:我们按照这样的规则,把你所写的那三个数字所组成的数,一步一步地计算下去,咱们来比一比,看谁在规定的时间内写的算式又对又多。建议大家只写竖式,不用写横式。

反思:导入是小学数学新授课必不可少的环节,是一节课的开始。俗话说“良好的开端是成功的一半。”刚进行到这儿时,我的心中还美滋滋的:我们的学生也不比人家杭州的差,都能回答得很好,情况也进入得不错,这次的课挑这个上,真是上对了,看来同学们对这节课还是有着浓厚的兴趣的。但是,上完课后,根据同个教研组的老师给我的指点,我再回过头来,细细思量,却发现,我的导入看似成功,实则失败。学生在最初的故事情境下,确实兴趣高涨,兴致勃勃,这个密码还真是吸引了他们,但是,我的败笔就在于对于同样的问题,我却提出了两遍。第一遍,学生报给我的数字说成了数,我的问题是他说的对吗?应该是什么?这三个数字可以吗?学生其实已经完全回答正确了:

1、学生把数和数字区分开来了;

2、学生把三个不同的数字这个要点抓住了。但是,第二遍,我自己设了个局,报出3、6、12这三个数,然后又问了一遍这样写行不行?为什么不行?这时,学生就觉得比较奇怪了,为什么相同的问题老师要问两遍呢?他们的兴趣,在不知不觉中已经有所消退了。而实际上,我导入的作用是什么?

1、激发学生上课的兴趣;

2、审清题意,为接下来的内容打基础,做铺垫。而在第一个问题抛出的时候,我的两个目的都已经达到,我却继续抛出相同的第二个问题,这样反而适得其反了。

课堂模仿:只得形似,未得神似

在课堂练习,发现数字黑洞的问题时,我极力模仿华应龙老师的课堂,以其达到如他一致的课堂效果,却未成想到,名师的课堂掌控是长年累月的经验累积得到的,这种课堂掌控不是单靠模仿可以达成的,因为学生的个性是不同的。于是,我的问题就出现了:

学生提笔埋头计算,教师在巡视过程中请一位学生去板演。过了一会,有些学生停笔不再算了,抬头看着板演的学生,并发出了一些议论声。板演的孩子仍然继续埋头苦算,过了一会,被教师请下台了。

师:你们怎么了?怎么都停笔不算了? 生:后来算出来的数字一样的。师:大家都是这样的吗? 生点头应是。

师感叹:佩服,佩服我们班的孩子们,你们真棒!老师刚才在看大家计算,算得都很快,也很准确。后来你们再算,我就不看了,站在这里看你们算,看有多少同学能自己发现问题,提出问题。一会,就有同学在下面停笔,并且有小声的议论声了,表示大家有疑问。非常好!那我们一起看看黑板上的算式。看看刚才板演的同学算得对吗?

生齐声答算得对,但是之后又有小声的议论。

师:大家有什么疑问吗? 生:他后面写的一样的,不用重复写了。师:和刚才这位同学一样的,发现重复就不写了的同学请举手。(大部分孩子举起了手。)师:如果不是这样,不管不顾地一直算下去…… 生接话,一直重复最后这个算式。师:你们好像都上老师的当了,老师说在3分钟内,看谁写得多,其实就想看看大家是不是动脑子。

(学生一脸茫然,但是,教师不为所动,仍按预设讲)

师:刚才我们发现。动脑子的人,算一会儿,出现问题就不算了,可不动脑子的人呢?他就得一直往后算。所以老师想告诉大家一句话(板书:“千金难买回头看”,同时学生读出来。)谁来说说这句话是什么意思?

……

反思:中间的课堂,我极力模仿,甚至将他的台词全都背下来了,照本宣科,但是,效果却是不尽如人意的,在小部分学生发现问题后,我就让板演的同学停笔下去了,这时,板演的同学还未发现问题,台下的大部分同学仍然沉浸在计算中,我就单方面的结束了探究。我的课堂探究是不成功的,是片面的,是形式的,而这也恰恰给接下来的内容留下了隐患。而且,我又犯了与情境导入相同的错误,一再的重复,给人的感觉的是烦琐的,累赘的,因此,从此时开始,学习的兴趣正在走下坡。以至于,我极力想给学生创造一个宽松的环境,但是学生却完全不理会,甚至对我说的话感到非常茫然。之后,更严重的问题出现了。

自主研究:思路闭塞,不见效果(从495引出数字黑洞后,继续研究数字黑洞的内容)

师:刚才我们说的数字黑洞呢?我们开始选择不同的数字,最后都被495给吸进去了。现在你们是不是又有什么新的问题呢?爱因斯坦曾说过:“提出一个问题比解决一个问题更重要!”

学生茫然的看着我,没有我想象中小手林立的情形,只有冷清的课堂,我继续启发:“495是三位数的数字黑洞,那么别的数字黑洞还有吗?你能提出什么建议吗?我们还能研究几位数的数字黑洞呢?”

终于有生举手回答:“那么四位数有没有数字黑洞呢?” 师:还有吗?

生:那么五位数、六位数、七位数的数字黑洞分别是什么呢?

师:老师也来提一个问题,如果三位数中,有2个数字是相同的,可以吗?好,接下来,请同学们选一个自己感兴趣的问题来试一试。

学生继续练习多位数的减法。

反思:我的自主研究是非常失败的,由于,之前没有让学生很好的理解、领悟495的数字黑洞,再加上之前,学生对于学习的兴起已经开始减退,于是,在这个环节就出现了研究的思路闭塞,经过教师的一再点拨,才有学生想出最简单的一个问题:四位数有没有数字黑洞。反思这种情况的出现:

1、是由于学生的素质;

2、是由于教师的教学出现了问题。最主要的原因还是我的教学出现了问题。在之前的发现问题,发现规律中,我给的时间不够,学生的理解不到位,虽然,学生对数字黑洞很有兴趣,但是没有知识的铺垫,他们也只能望“题”兴叹。总体来说,我关于数字黑洞的这一堂课是非常失败的。

【课堂改进与收获】

通过这一堂汇报课,我认识到,上课要有自己的风格,每一个学生都是一个鲜活的个体,学生是活的,教材是死的,生搬硬套于教学来说是最忌讳的。偶然的一次机会,听到了另一位名师关于数字黑洞的论调,对我的触动非常大。结合华应龙老师与另一位名师的想法,我有了如下的改进与收获:

一、上课要有自己的思想,不生搬硬套 俗话说,好的开始是成功的一半,那么对于一节课来说,导入是非常重要的,华应龙老师的导入是以故事入手,吸引学生的是解决密码问题的小游戏,而我对于这节课,完全没有自己的思考,只是在听华老师上的时候觉得很吸引我,这节课上得非常棒,我就模仿着上了,连导入都是照搬照抄,完全没有自己的思想,关于数字黑洞这节课,甚至都没有仔细去研究。

在课后,我静下心来,认真的研读了教材,查找了资料。数字黑洞这节课的内容是人教版五年级上册第31页《什么是“数字黑洞”》,那么,其实这个内容的弹性很大,放在四、五、六年级上都可以,四年级上这节课,我们可以采取华应龙老师的做法,将它上成一节练习课,因为,数字黑洞是与数字有关的,涉及到计算,而在四年级,多位数的加减法早已学习完毕,知识衔接完全没有问题。而在五、六年级上这节课,我们则可以将它上成一节知识介绍性的课,数字黑洞:数学中借用黑洞这个词,指的是某种运算,这种运算一般限定从某些整数出发,反复迭代后结果必然落入一个点或若干点。数字黑洞运算简单,结论明了,易于理解,故人们乐于研究。但有些证明却不那么容易。

一个苹果与一个苹果交换后,还是一个苹果;而一种思想与另一种思想交换后就有两种思想,甚至三种思想。因此,要想上好一节课,除了在前人的肩膀上前进外,还需要自己的思考、钻研。

二、上课要有自己的风格,不极力模仿

每个个体都是不同的,模仿得再像,毕竟还是模仿。我将华应龙老师的台词全都背下来,可是,我却完全上不出他的风格,这正是本课的最大败笔。

练习课的常貌一般是通过大量的习题,通过大量扳着面孔的题目对计算中的各个问题进行有针对性的训练,而华应龙老师的这节练习课,别有一番风味,把冷饭炒成了诱人的扬州炒饭。他的课练习量足,但是,却不让人觉得厌烦,没有一般练习课的除了做题还是做题的感觉。而我的课呢,所谓画虎不成反类犬:

一、练习量不足,因为,前半节课的没有效率,导致后半节课的时间来不及,草草收尾。

二、重点不明确,数字黑洞的认识和以数字黑洞为媒介的练习,我花的时间基本差不多。

三、没有以个人魅力去赢取学生的注意力,也就是说,上课没有自己的风格。

总之,教学是一门高深的学问,只有不断地反思,不断地学习,不断地思考,才有进步的可能。就是在一次次的上课中,反思中,我们才会逐渐成熟起来,逐渐增强自己的教学能力,形成自己的教学风格。凡事多思,一节课不只是一种传统的上法,如同一座山,从不同的角度看,它就会呈现出不同的面貌,“横看成岭侧成峰,远近高低各不同。”这句诗很好的诠释了这个道理。

第三篇:承兑证明简易

证明

分行:

今持有贵行开具的银行承兑汇票壹张:

票号:1

出票金额:元整

出票日期:201年月 日

到期日期:201年月 日

出票人全称:有限公司

收款人全称:有限公司

该银行承兑汇票由于 财务人员疏忽,背书转让时,财务印鉴加盖不清晰

如因上述原因产生的任何经济纠纷由本公司承担。

印鉴 章:单位公章:

年日

第四篇:简易数字存储示波器设计

合肥工业大学 课程设计实验报告

题目:简易数字存储示波器设计 学院: 专业: 姓名: 学号: 指导老师:

目录

一、概述---------------第二页

1.课程设计题目及要求---------------

2.课程设计目的-----------------------

3.背景介绍-------------------------

二、设计方案与电路实现第四页

1.工作原理----------------------------

2.利用Quartus软件,编写.v文件-------

3.modelsim及Quartus软件仿真,描述电路性能的波形等参数

4.模拟仿真、结论------------------

三、心得体会--------第九页

四、参考文献--------------第九页

一、概述

(1)课程设计的题目及要求

1.课程设计的题目:简易数字存储示波器设计.2.课程设计的要求:

a.利用 FPGA 实现数字存储示波器.b.由 FPGA 控制 ADC 对一路模拟信号采样, 采样数据暂存于 RAM 中.c.由 FPGA 将 RAM 中的数据读出, 并控制 DAC 输出给示波器显示.d.由一个按键模拟触发信号.e.选用 GW48-PK2 系统, 编写程序在 FPGA 上实现并加以验证.(2)课程设计目的

1.通过本次课程设计掌握Quartusm及modelsim软件的运用 2.掌握数字信号处理的原理方法与实现的过程

3.通过本次课程设计学习解决问题的思路与方法,学习查找资料和运用所学知识解决实际问题的能力 4.学习与别人沟通和合作的能力 5.学会独立思考

(3)背景介绍

数字存储示波器有别于一般的模拟示波器,它是将采集到的模拟电压信号转换为数字信号,由内部微机进行分析、处理、存储、显示或打印等操作。这类示波器通常具有程控和遥控能力,通过GPIB接口还可将数据传输到计算机等外部设备进行分析处理。

数字存储示波器的基本原理如图所示,其工作过程一般分为存储和显示两个阶段。在存储阶段,首先对被测模拟信号进行采样和量化,经 A/D转换器转换成数字信号后,依次存入RAM中,当采样频率足够高时,就可以实现信号的不失真存储。当需要观察这些信息时,只要以合适的频率把这些信息从存储器RAM中按原顺序取出,经D/A转换和LPE滤波后送至示波器就可以观察的还原后的波形。

普通模拟示波器 CRT 上的 P31 荧光物质的余辉时间小于 1ms。在有些情况下,使用 P7 荧光物质的 CRT 能给出大约 300ms 的余辉时间。只要有信号照射荧光物质,CRT 就将不断显示信号波形。而当信号去掉以后使用 P31 材料的 CRT 上的扫迹迅速变暗,而使用 P7 材料的 CRT 上的扫迹停留时间稍长一些。

那么,如果信号在一秒钟内只有几次,或者信号的周期仅为数秒,甚至信号只猝发一次,那又将会怎么样呢?在这种情况下,使用我们上面介绍过的模拟示波器几乎乃至于完全不能观察到这些信号。

所谓数字存储就是在示波器中以数字编码的形式来贮存信号。当信号进入数字存储示波器,或称 DSO 以后,在信号到达CRT 的偏转电路之前(图1),示波器将按一定的时间间隔对信号电压进行采样。然后用一个模/数变换器(ADC)对这些采样值进行变换从而生成代表每一个采样电压的二进制字。这个过程称为数字化。

获得的二进制数值贮存在存储器中。对输入信号进行采样的速率称为采样速率。采样速率由采样时钟控制。对于一般使用情况来说,采样速率的范围从每秒 20 兆次(20MS/s)到 200MS/s。存储器中贮存的数据用来在示波器的屏幕上重建信号波形。所以,在DSO中的输入信号接头和示波器 CRT 之间的电路不只是仅有模拟电路。输入信号的波形在 CRT 上获得显示之前先要存贮到存储器中,我们在示波器屏幕上看到的波形总是由所采集到数据重建的波形,而不是输入连接端上所加信号的直接波形显示。

二、设计方案与电路实现

1.工作原理

数字存储示波器与模拟示波器不同在于信号进入示波器后立刻通过高速A/D转换器将模拟信号前端快速采样,存储其数字化信号。并利用数字信号处理技术对所存储的数据进行实时快速处理,得到信号的波形及其参数,并由示波器显示,从而实现模拟示波器功能,而且测量精度高。还可存储信号,因而,数字存储示波器可以存储和调用显示特定时刻信号。

计数器模块采用和A/D同步时钟,通过写使能wren控制A/D采样,当wren=1时,处于与采样允许阶段,每一个时钟周期A/D采集一次数据,每经过一个采样周期地址计数器加一,产生一次地址锁存,并把数据锁存到RAM中。

2.A/D结构 ①内含S/H;

②为半闪烁结构(flash),两个4bit并行A/D组合为8 bit,转换速率20 Ms/s; ③输入信号 +(0– 2)V; ④基准电压 + 2V 等等 TLC5510内部电路结构

3.利用quartus软件,编写.v文件(1)顶层模块

module reserve(clk, key1, trag, adin, dout);

input[7:0] adin;input clk,key1;

output[9:0] trag;output[9:0] dout;

wire[9:0] trag;wire[9:0] dout;wire[9:0] Q1;wire[7:0] md;wire[7:0] din;

reg[9:0] t;

assign dout={md[7:0],2'b00};assign trag=Q1;

count count(.q1(Q1),.clock(clk));ad ad(.ADIN(adin),.DIN(din),.CLK(clk));

dpram dpram(.data(din),.wren(key1),.q(md),.address(Q1),.inclock(clk));endmodule

(2)计数器模块

module count(clock,q1);input clock; output[9:0] q1;

reg[9:0] t;

always @(posedge clock)begin t<=t+1;end

assign q1=t;endmodule

(3)A/D模块

module ad(ADIN,CLK,DIN);input CLK;input[7:0] ADIN;output[7:0] DIN;

reg[7:0] m;

always@(posedge CLK)begin m<=ADIN;end

assign DIN=m;endmodule

描述电路

4.实验结论

经过在modelsim上仿真得到的波形满足预先设计的功能,在quartus上编译的结果也是正确的。

三.心得体会

这次的实验让我收获颇多,因为这次的课程设计需要用到单片机的知识,verilog的知识,还用到了数字集成电路的知识,是我对于本专业的认识有了更深的了解,也是我明白了现在市面上的电子产品大体的设计步骤。不但如此,我还通过这次试验掌握了示波器的使用方法,协调了知识综合应用的能力。这次试验中还用到了数模模数芯片,让我对其产生好奇,因为这就相当于电子世界里面的人类的听觉。并且通过这次实验还对于quartus和modelsim软件仿真有了一些认识,知道了编程序不要怕从哪里下手,一定要多练,写的多了,自然而然就会了,这次实验让我对于vrilog语言结构有更多了认识,并且我也熟悉了老师们经常在课堂上说的FPGA,而且还锻炼和组员们的协调能力,合作能力,受益匪浅。

四.参考文献

《EDA技术实用教程---VHDL》潘松、黄继业编著;

《Verilog HDL高级数字设计》出版社: 电子工业出版社;第1版(2010年4月1日;

《Verilog数字系统设计教程》夏宇闻;

《数字信号处理的FPGA实现》(第2版)刘凌译;

第五篇:简易数字跑表设计

西安郵電大学

数字控制系统课程设计报告书

院(系)名称 : 学生姓名 : 专业名称 : 班

级 : 时

自 动 化 学 院

自动化 自动1103 间 : 2014年9月1日 至2014年9月12日

乘法器设计

一、设计要求:

计时精度10ms,计时范围59.99秒。

(1)对单人计时,能实现暂停、显示及清零功能,在数码管上实时显示,设置启动/暂停、清零按键;

(2)对多个人同时计时,在数码管上实时显示,并能回显出六个时间。可控制显示,设置清零、取时按键;

(3)采用状态机设计;

(4)百分秒在数码管上实时显示,秒利用多个数码管显示BCD值。

二、设计过程: 1.方案设计:

1)画状态图:

按键

状态

输出

复位:00

开始:S0

清 零:z=0;

启/停:01

计数:S1

不清零:z=1;

存/回显:10

暂停:S2

存/回显:S3

2)写程序:

·在一个module模块里,有多个always块;

·有分频、计数、存储、输出等模块;

·测试模块的输入输出与源程序的输入输出相反; 2.系统仿真:

Verilog仿真所需仿真环境:modelsim 3.管脚配置:

1.四、软件设计: 源程序

module paobiao(clk,rst,pause,save,mg,md,bg,bd);//秒高,秒低,百分秒高,百分秒低

input clk,rst,pause,save;

output reg [3:0] mg,md,bg,bd;reg [15:0] zd,zz,zg;//中间变量 reg [17:0] count1;reg clk1;//100ms reg pause_temp,save_temp;//取上升沿 reg [13:0] count2,reg0,reg1,reg2,reg3,reg4,reg5;reg [2:0] count3;// reg [1:0] state,next_state;reg cn1;parameter S0=2'b00,S1=2'b01,S2=2'b11;//分频

always @(posedge clk or negedge rst)

begin if(!rst)count1<=0;

else if(count1==200000)count1<=0;//200000

else count1<=count1+1;

end

always @(posedge clk)begin if(!rst)clk1<=0;else if(count1==99999)clk1<=~clk1;//99999 else clk1<=clk1;

end //总计时

always @(negedge clk1 or negedge rst)//clk1 begin if(!rst)count2<=0;

else if(state==S1)count2<=count2+1;

// else if(state==S2)count2<=count2;

else count2<=count2;end

//存储个数计数

always @(negedge rst or negedge save)begin if(!rst)

count3<=0;

else if(next_state==S1)

count3<=count3+1;

else if(next_state==S2)

count3<=count3-1;

else count3<=count3;

end

//毫秒低位显示结果

always @(negedge rst or posedge clk)begin

if(!rst)

bd<=0;

else if(state==S1)bd<=count2%10;

else if(state==S2)

begin case(count3)

3'b000: bd<=reg0%10;

3'b001: bd<=reg1%10;

3'b010: bd<=reg2%10;

3'b011: bd<=reg3%10;

3'b100: bd<=reg4%10;

3'b101: bd<=reg5%10;

default:

bd<=reg0%10;

endcase

end

else

bd<=bd;

end

//毫秒高位显示结果

always @(negedge rst or posedge clk)begin

if(!rst)

bg<=0;

else if(state==S1)

bg<=(count2/10)%10;

else if(state==S2)

begin case(count3)

3'b000: bg<=(reg0/10)%10;

3'b001: bg<=(reg1/10)%10;

3'b010: bg<=(reg2/10)%10;

3'b011: bg<=(reg3/10)%10;

3'b100: bg<=(reg4/10)%10;

3'b101: bg<=(reg5/10)%10;

default:

bg<=(reg0/10)%10;

endcase

end

else

bg<=bg;

end

//秒位显示结果

always @(negedge rst or posedge clk)begin

if(!rst)

md<=0;

else if(state==S1)md<=(count2/100)%10;

else if(state==S2)

begin

case(count3)

3'b000: md<=(reg0/100)%10;

3'b001: md<=(reg1/100)%10;

3'b010: md<=(reg2/100)%10;

3'b011: md<=(reg3/100)%10;

3'b100: md<=(reg4/100)%10;

3'b101: md<=(reg5/100)%10;

default:

md<=(reg0/100)%10;

endcase end

else

md<=md;end

//十秒位显示结果

always @(negedge rst or posedge clk)

begin

if(!rst)

mg<=0;

else if(state==S1)mg<=(count2/1000)%10;

else if(state==S2)

begin

case(count3)

3'b000: mg<=(reg0/100)%10;

3'b001: mg<=(reg1/100)%10;

3'b010: mg<=(reg2/100)%10;

3'b011: mg<=(reg3/100)%10;

3'b100: mg<=(reg4/100)%10;

3'b101: mg<=(reg5/100)%10;

default:

mg<=(reg0/100)%10;

endcase

end

else

mg<=mg;

end

always @(negedge rst or posedge clk)//定义当前状态

begin if(!rst)state<=S0;//异步复位,S0为初始状态

else

state<=next_state;

end

//状态转移

always @(state or pause or

pause_temp)begin

case(state)

S0:begin if(pause==0&&pause_temp==1)next_state<=S1;

else next_state<=S0;

end

S1:begin if(pause==0&&pause_temp==1)next_state<=S2;

else next_state<=S1;end

S2:begin if(pause==0&&pause_temp==1)next_state<=S1;

else next_state<=S2;end

default:next_state<=S0;

endcase

end

//存数

always@(negedge rst or negedge save)begin if(!rst)begin

reg0<=0;reg1<=0;reg2<=0;reg3<=0;reg4<=0;reg5<=0;end

else

begin if(count3==0)reg0<=count2;

else if(count3==1)reg1<=count2;

else if(count3==2)reg2<=count2;

else if(count3==3)reg3<=count2;

else if(count3==4)reg4<=count2;

else if(count3==5)reg5<=count2;

else begin reg0<=0;reg1<=0;reg2<=0;

reg3<=0;reg4<=0;reg5<=0;end

end end

//取启停键上升沿 always @(posedge clk)begin if(!rst)pause_temp<=0;

else

pause_temp<=pause;

end

//取保存翻看上升沿

always @(posedge clk)begin if(!rst)save_temp<=0;

else

save_temp<=save;end

endmodule

2.测试程序

module paobiao_tp;reg clk,rst,pause,save;wire[3:0] mg,md,bg,bd;parameter DELY=10;paobiao u1(clk,rst,pause,save,mg,md,bg,bd);always #(DELY/2)

clk=~clk;initial

begin clk=0;pause=0;save=0;rst=0;

#DELY rst=1;save=0;pause=0;

#DELY pause=1;

#DELY pause=0;

#DELY save=1;end endmodule

五、实验结果 1.仿真图像

2.硬件电路显示

六、个人完成工作及心得体会

我们组设计的是数字跑表,我负责画状态图和系统程序和仿真。画状态图时由于对状态机理解不到位,画的状态图有点问题,后来经老师指导改正了;我负责写仿真测试程序,这需要对源程序的输入输出很清晰,对其暂停、启停、存储、回显的掌握;再就是系统仿真,先在modelsim 上仿真,出来波形后再在开发板上仿真,刚开始程序分频、计数输出都有问题,后来逐项排除错误代码,仿真才出来。

课设过程中,我学到了很多关于Verilog的知识,比如写程序要注意的分频、计数、输入输出等问题,仿真时要注意时间长短的选择、进制间的转换等等,还有最后往开发板下载程序时注意的问题等。认识比较深刻的是:一个小组,只有相互帮助、团结努力,才能很好地完成任务。

下载“数字黑洞”及其简易证明word格式文档
下载“数字黑洞”及其简易证明.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    勾股定理的简易证明范文大全

    勾股定理的证明 大家都会使用勾股定理,但是勾股定理的证明,一时间让大家很是费解,不过下面这种做法能够给予很好地证明。首先,画出一个正方形ABCD; 以A引出一条射线AE; 以B引出......

    简易数字显示频率计的设计

    简易数字显示频率计的设计 摘 要:本文应用NE555构成时钟电路,7809构成稳压电源电路,CD4017构成控制电路,CD40110和数码管组成计数锁存译码显示电路,实现可测量1HZ-99HZ这个频段的......

    黑洞观后感

    黑洞观后感 姓名:扎旺班级:金融3班 黑洞这个概念在我高中时期就曾听过,一直对此充满了兴趣,但是一直都没有机会涉猎。直到读大学在马克思主义基本原理概论课上,我才真正的和黑洞......

    《黑洞》论文

    论黑洞摘要:黑洞(Blackhole)是现代广义相对论中,宇宙空间内存在的一种密度无限大,体积无限小的天体,所有的物理定理遇到黑洞都会失效。黑洞是由质量足够大的恒星在核聚变反应的燃......

    黑洞观后感(合集五篇)

    《黑洞》影评以前高中的时候我的同桌是一个科学迷,特别喜欢相对论,那是听他说起过黑洞,只是知道时间和空间一旦到达黑洞,便也会消失。当时只道是寻常,没深究,如今也听不到了。没想......

    《黑洞频率》观后感范文

    《黑洞频率》观后感花了几天的时间,才看完了一部很经典的电影《黑洞频率》,写这篇观后感。一是为了推荐大家也去看,二是给我姑父看,不然他说我看了电影没用,咱甭管我理解的多少,只......

    黑洞记录片观后感

    黑洞影评200亿高龄的宇宙,浩瀚无比,无奇不有,黑洞就是一个令所有天文学家感兴趣却又捉摸不透的天体。黑洞的定义是:由一个只允许外部物质和辐射进入而不允许物质和辐射从中逃离......

    黑洞班会演讲稿

    班会演讲稿 1:太空中的一切都是奇妙的,充满生机的地球;浩瀚火热 的太阳!还有那明镜的月亮! 2:对!太空是美丽的,无边无际的,那——黑洞呢? 1、2:主题班会黑洞之谜,开始! 1:请欣赏科幻小品,......