模拟电路与数字电路[5篇范文]

时间:2019-05-14 10:53:14下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《模拟电路与数字电路》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《模拟电路与数字电路》。

第一篇:模拟电路与数字电路

模拟电路处理的事连续变化的数据,是电路的基础

数字电路是把模拟电路简单化,数据离散化

模拟电路模拟电路(Analog Circuit):处理模拟信号的电子电路 模拟信号:时间和幅度都连续的信号(连续的含义是在某以取值范围那可以取无穷多个数值)。模拟电路:

电路中的元件(器件)动作方式属于线性变化的电路。通常著重的是放大倍率, 讯杂比, 工作频率等问题。常见如:变压电路, 放大器电路, 都是属于仿真电路。亦称为类比电路。比如你听收音机、看电视、打电话的时候从喇叭里听到的语音信号)的电路。相对应的是数字电路。但模拟电路是数字电路的基础,数字电路的器件都是模拟电路组成的.数字电路:

用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能.数字电路学完了你会知道诸如组合逻辑(与门。非门,与非,或非,与或非,同或,异或的组合),寄存器,计数器,编码器,译码器,顺序信号发生器等等和数字信号处理相关的电路和原理。模拟电路学了可以知道诸如:二极管电路,晶体管放大电路,场效应管放大电路,以及相关的反馈,频率响应,放大倍数,输入输出电路,共模抑制比等特性。在今后的学习或工作中,诸如芯片的外围电路设计基本上离不开模拟电路和数字电路。如给芯片供电的电源是模拟电路,用cpld对IO的分配属于数字电路,振荡电路属于模拟电路,信号的AD采样属于数字电路……

第二篇:模拟电路学习心得

模拟电路是一门内容多、涉及面广、新知识点多,学时少的学科。模拟电路是电子专业技术的一门入门性质的基础课,它与高等数学、电路理论、数字电路技术等课程有着非常大的关系。

大一的时候就老师学长们就和我们交流过关于模电这门课的学习难度,而且他们几乎都认为模电的学习较有难度,所以刚开始时就没敢怠慢这门课程。每次我总会满怀激情的在课外去复习和预习这门课的内容,但是好景不长,慢慢到后来,其它繁杂的事情越来越多,课程的学习难度也慢慢加大,所以有些章节学习起来感觉很吃力并且确实有好多问题放在那没有得到及时的解决,积累起来就比较多了!虽然老师在课堂上讲的十分仔细,但注意力稍不集中也很容易漏点重要的知识点。再者由于课时的限制,老师讲课的速度也很快。所以课后如果不花有效的时间和手段进行巩固学习,是很难掌握扎实的。

模拟电路主要讲的是常用半导体器件、基本放大电路、集成运放放大器、放大电路的频率响应、放大电路中的反馈、信号的运算及处理、波形的产生与信号的处理、功率放大电路和直流电源等。现它已深入电子、通信、电力、控制等领域。对于模拟电路这门功课,我是这样学习的。

一、课前花一个小时至两个小时进行预习。在预习时,将重要的知识点将其标上记号,并把在预习中看不懂得地方也将其记下来。这样上课时不仅可以清楚学习脉络,还可以注意到哪些要重点听的地方。

二、上课时,要认真听讲。在听讲时,不是只要看着屏幕就行了的。有的同学两眼发直,不知何为。我们要认真听老师的讲述,还要好好看课本。做到学习时,屏幕、书本、人三合为一,这样不仅不会分心,而且还很有效率。

三、课后要好好复习,遇到没有搞懂的问题要好好找资料或者上论坛询问,论坛其实是一个好去处,在哪里不仅可以学习自己不懂得地方,还可以了解更多的知识(包括里面有许多容易出现问题的地方、最新的电子方面的信息等)。还可以与同学一起交流讨论,拓展知识面。

我认为只要做好了这几点,就不怕学不好。这样的的学习方法既可以学习好,还可以从中找到快乐,在玩的时候也会很开心。对于我用我的这种方法在此门功课上学到了许多知识。

我觉得分析模电重在按部就班思考,这不是说墨守成规,而是在头脑中形成比较成熟的思路,看到题目可以明白的知道我该做什么,会用到什么公式。毕竟我们现在的模电公式繁多,如果能有比较清晰的思路,不仅节约时间而且正确率也会很高。就以放大电路稳定性来看,比如需要我们求得Q、Au、Ri,如果我们头脑中一直有“求解静态工作点Q首先给出直流通路,求解动态指标首先要给出交流通路,且首先要稳定静态工作点”的清晰思路,再配合上不同电路(晶体管的基本放大电路、直接耦合放大电路、阻容耦合放大电路)所要的不同计算公式,那么这道题目必然迎刃而解。

以上只是本人的一点学习心得,希望对大家的学习能有一定的帮助。有志者事竟成,我们都是初次接触模电,相信只要努力都会取得比较理想的成绩,很感谢一学期来徐老师给我们的细心讲解,透彻的解析,让我们真真的走进了电子技术的大门,相信只要我们不断努力,坚持不懈,我们一定会取得优秀的成绩。最后也祝愿徐老师的课讲得越来越好。

第三篇:模拟电路总结

模拟电路总结

一、运算放大器的电路模型

通常:

开环电压增益

Avo≥105(很高)输入电阻

ri ≥ 106Ω(很大)输出电阻

ro ≤100Ω(很小)

vO=Avo(vP-vN)(V-<vO<V+)

运算放大器的电路模型

理想集成运放

开环电压增益Avo→∞ 输入电阻ri →∞ 输出电阻ro →0

-----虚断

理想集成运放开环工作时

----称集成运放工作在非线性区 集成运放引入负反馈

vO=Avo(vP-vN)(V-<vO<V+)而理想运放

Avo →∞

∴应有vP » vN----称工作于线性区

----虚短

1、同相比例放大电路

2、反相比例放大电路

3、求和电路(加法电路)

4、求差电路(减法电路)

(1)利用信号取反求和以实现减法运算

(2)差分式减法电路

5、通用数据放大电路

通用数据放大器,常用于对传感器输出微弱信号放大

此电路输入电阻高、输出电阻低,且抑制共模信号的能力强

6、积分电路

7、微分电路

二、滤波电路的基本概念与分类

(1)滤波器:一种能使有用频率信号顺利通过,而同时抑制或衰减无用频率信号的电子装置。

(2)滤波电路的传递函数

(3)几个术语

通频带(通带):能够顺利通过的信号的频率范围。理想情况:通带增益为常数, 幅频响应具有0db衰减 阻带:受抑制或大为衰减的信号的频率范围。

(4)分类

一阶有源滤波电路

2.高通滤波电路

RC高通电路+同相比例放大器

3.带通滤波电路

二阶有源滤波电路

1、二阶有源低通滤波电路

第四篇:数字电路与系统课程设计-设计8位串行数字锁电路

大连理工大学城市学院

大连理工大学城市学院

数字电路与系统课程设计

设计题目:设计

8位串行密码锁

8位串行数字锁电路

学 院:电子与自动化学院

专 业:

学 生:

同 组 人:

指导教师:

完成日期:2015年4月20日 大连理工大学城市学院

第一章 设计任务

1.1项目名称:设计8位串行数字锁电路

本项目的主要内容是设计并实现8位串行数字锁电路。该电路将所学的数字电路与系统大部分知识和VHDL语言结合。

1.2项目设计说明:本项目用来实现设计8位串行数字锁电路

1.2.1设计任务和要求

⑴开锁代码为8位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开所指示灯LT。否则,系统进入“错误”状态,并发出报警信号。

⑵开锁程序由设计者确定,并要求锁内给定的密码是可调的,且预置方便,保密性好。

⑶串行数字锁的报警方式是点亮指示灯LF,并使喇叭名叫来报警,直到按下复位开关,报警才停止。此时,数字锁又自动进入等待下一次开锁的状态。1.2.2进度安排

第一次课:预答辩,制定计划分组 第二次课:详细设计 第三,四次课:设计,编程,调试 第五次课:程序测试机,硬件连续调试 第六次课:普通题检查 第七次课:答辩

8位串行密码锁 大连理工大学城市学院

第二章 需求分析

2.1设计原理

数字密码锁原理框图如图1所示。由时钟脉冲发生器、按键、指示灯和控制部分等组成。开关的消抖动电路放在控制部分考虑,时钟输入CLK由外部时钟脉冲发生器的输出提供。设计中的指示灯就是发光二极管,共计10个,用来指示系统的工作状态。其中8个为一组,用来显示已经输入密码的个数,剩余两个,一个为开锁绿色指示灯LT;另一个为报警红色指示灯LF。控制部分是VHDL语言设计的核心部分,主要由方波生成模块FEN、消抖同步模块XIAOPRO和密码锁逻辑控制模块CORNAA这4个模块构成,可以完成密码的修改、设定及非法入侵报警、驱动外围电路等功能。

2.2分解设计

本设计主要包括方波生成模块,消抖同步模块和密码锁逻辑控制模块方波生成模块FEN分频占空比为1:5000000的方波,用于

8位串行密码锁 大连理工大学城市学院

消除抖动。消抖同步模块实现了消除抖动并且同步的功能。密码锁逻辑控制模块是整个设计的核心,它实现密码锁的逻辑功能。

第三章系统功能模块设计

3.1系统流程图

8位串行密码锁

大连理工大学城市学院

3.2输入输出信号定义

表1 数字密码锁控制器输入输出信号定义

3.3模块功能

3.31模块一:方波生成模块

方波生成模块FEN分频占空比为1:5000000的方波,用于消除抖动。分频电路的输入时钟CLK是由外部时钟提供的,外部时钟周期取200ns。(程序见附录)3.32模块二:消抖同步模块

对于K1和K0信号,它们分别代表1和0的按键开关,可以直接送入密码锁逻辑控制模块CORNAA。但由于它们是由按键产生的,其产生时刻和持续时间长短是随机的,并且存在因开关簧片反弹引起的电平抖动现象.因此,必须在每个开关后面安排一个消抖和同步化电路模块XIAOPRO,目的是保证系统能捕捉到输入脉冲,同时,保证每按一次健只形成一个宽度固定的脉冲。在XIAOPRO模块中,因为变量的赋值是直接的,立即生效的,它在某一时刻仅包含一个值,而信号的赋值是有一个值,而信号的赋值是有一定附加时延的,故当时钟脉冲下降沿到来时,变量temp2和temp3在赋值语句执行后立即分别得到新8位串行密码锁 大连理工大学城市学院

值:tmp1的值和tmp2的非值,而输入信号a经过一定时延赋值给信号tmp1,实现了消除抖动并且同步的功能。(程序见附录)3.32模块三:密码锁逻辑控制模块

模块CORNAA是整个设计的核心,它实现密码锁的逻辑功能。开锁代码为8位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯LT。否则,系统进入“错误”状态,并发出报警信号。串行数字锁的报警方式是点亮指示灯LF,并使喇叭鸣叫,直到按下复位开关,报警才停止。此时,数字锁又自动进入等待下一次开锁的状态。该8位串行电子密码锁设置8位二进制密码,要求锁内给定的密码是可调的,且设置方便,保密性好。其具体操作分为输入密码和修改密码两部分。

(1)输入密码

密码输入值的比较主要有两部分,密码位数和内容,任何一个条件不满足,都不能打开锁。若锁内密码为“00001111”,K1和K0置低电平,分别表示输入“1”和“0”。输入密码前先进行复位操作,再按着从密码最低位到最高位的顺序依次正确输入00001111。若采用共阴极LED接法,当输入第0位“0”后,八个二极管中相对应的二极管点亮(此时二极管指示灯lamp=“10000000”,输入密码信号shift=“10000000”),接着输入第1位“0”(此时lamp=“11000000”,shift=“01000000”)„„依照顺序,将8位二进制密码全部正确输入完毕后(此时lamp=“11111111”,shift=“00001111”),经检验,输入的密码shift等于锁内预先设置的密码8位串行密码锁 大连理工大学城市学院

lock,密码开锁信号LT置高电平,锁开启。同时,密码修改控制信号LA置高电平。

若在输入密码的过程中,8位二进制密码出现一位或多位输入错误,那么锁不能开启,同时ALM置高电平,指示灯LF亮,发出报警信号,通知管理员。直到按下复位开关,报警才停止。此时,数字锁又自动进入等待下一次开锁的状态。

(2)修改密码

为防止非管理员任意进行密码修改,必须在正确输入密码后,才能重新设置密码。输入正确密码后,锁打开,同时,密码修改控制信号LA置高电平,就可直接进行修改密码的操作。修改密码实质就是用输入的新密码去取代原来的旧密码,存储新密码时,输入一位密码,密码位数加1。若采用共阴极LED接法,与输出引脚lamp相接的发光二极管由亮变暗。当输入8位密码后,8只发光二极管全变暗。此时给CLK一个低电平,新密码产生。(程序见附录)

8位串行密码锁 大连理工大学城市学院

第四章 整体功能实现

4.1整体原理图设计

4.2程序编译与仿真

当各个模块分别编译成功后,则创建一个个元件符号。再用图形编辑器将各元件模块组装起来,如图4

8位串行密码锁 大连理工大学城市学院

第五章 调试并分析结果

编译成功后进行仿真。建立波形文件。仿真波形如图5~图6所示。开始时,先给系统清零,即使clr为0(按下KEY[3]),若设置密码则SW[17]开关拨下使load为低电平,此时通过按去抖开关KEY[0],KEY[1]进行密码设置0和1,每输入一位密码则数目管显示的数字加1直到输入第8位

设置密码:仿真图如下如所示。设置密码时将SW[17]拨下使load为低电平并且clr为高电平此时可以设置密码,由图可以看出设置的密码为00000111每设置一位密码数码管HEX5的七个引脚都随着y1[0~6]的变化而变化,进而显示出当前的位数。

设置密码仿真图

当输入密码时,开关拨上使load为高电平输入密码开始,此时通过按去抖开关KEY[0],KEY[1]进行密码设置0和1,每输入一位密码则数目管显示的数字加1直到输入第8位。系统自动将输入的密码与预设的密码进行比较如果相同则开锁。开锁成功仿真图如下如所8位串行密码锁 大连理工大学城市学院

示,此时设置的密码为00001111输入的密码为00001111,由图可以看每设置一位密码数码管HEX5的七个引脚都随着y1[0~6]的变化而变化,进而显示出当前的位数。此时clr为高电平load为低电平当密码输入完毕后将load变为高电平进行密码输入输入方法与设置密码相同没设置一位密码数码管HEX7的七个引脚都随着y0[0~6]的变化而变化,进而显示出当前的位数。当输完八位密码后系统将其与预先设置的密码进行对比,由于设置与输入的密码相同所以lt为高电平开锁,lf、alm为低电平没有反应。

密码正确开锁成功仿真图

仿真结束后, 就可以将设计文件编程下载到芯片中去。连接硬件系统后, 选择“ Max+ plusⅡ”→“programmer” 菜单, 调出编程器(programmer)窗口。一切就绪后, 按下编程器窗口中的“program”按钮, 设计的内容就下载到FPGA 芯片EP1K30TC144-3 中去了。经实际电路测试验正达到了设计的要求。

8位串行密码锁 大连理工大学城市学院

第六章 心得

在这个实验中,我们最终实现了8位串行数字锁电路,输入代码与锁内密码一致,锁被打开;否则,封闭开锁电路,发出报警信号并且密码可调的功能与要求。

通过这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对VHDL语言的兴趣。但同时也暴露出我在知识上掌握不足等缺点:在实验中经常是一步做完不知道下一步改做些什么,在设计过程中也遇到了一些问题,但在我和同学共同努力下,查找相关资料,通过各种书籍和网上的知识,将问题一一解决。

刚开始时,我们遇到了很多问题,比如在实验定义上理解不透彻,读不懂语言程序,通过问老师和在网上查资料,对这些已经有了初步的掌握,对分频消抖等一些模块的定义更清晰。但是在最后下载到芯片时我们仍然遇到了问题,代表显示译码管的灯始终不亮,最后我们调节的分频的时间,对程序又做了更深一步的研究,才成功完成此次试验。我们在增长知识的同时增强解决问题和动手的能力。

在实验中我们遇到了很多问题,也得到了老师和同学的帮助,也知道了分工与合作的重要性。

8位串行密码锁 大连理工大学城市学院

附录:

程序一:方波模块

library ieee;

use ieee.std_logic_1164.all;

entity fen is

port(clk:in std_logic;

clk1:out std_logic);end fen;

architecture fen_arc of fen is

begin

process(clk)

variable cnt:integer range 0 to499999;begin

if clk'event and clk='1'then

if cnt=499999 then

cnt:=0;

clk1<='1';

else

cnt:=cnt+1;

clk1<='0';

end if;

end if;

end process;end fen_arc;模块二:消抖同步模块。

library ieee;

use ieee.std_logic_1164.all;entity xiaopro is

port(a,clk1:in std_logic;

b:out std_logic);8位串行密码锁 大连理工大学城市学院

end xiaopro;

architecture xiao_arc of xiaopro is

signal tmp1:std_logic;begin

process(clk1,a)

variable tmp3,tmp2:std_logic;

begin

if clk1'event and clk1='0'then

tmp1<=a;

tmp2:=tmp1;

tmp3:=not tmp2;

end if;

b<=tmp1 and tmp3 and clk1;

end process;end xiao_arc;模块三:该模块是整个程序的核心,它实现密码锁的逻辑功能。

library ieee;

use ieee.std_logic_1164.all;entity cornaa is

port(clk,k1,k0,clr,load:in std_logic;

lt:inout std_logic;

lamp:out std_logic_vector(7 downto 0);

lf,alm:out std_logic);end cornaa;

architecture corn_arc of cornaa is

signal shift,lock:std_logic_vector(7 downto 0);

signal lam:std_logic_vector(7 downto 0);

signal la:std_logic;

begin

process(clk,clr)

begin

if clr='0'then

la<='0';

elsif clk'event and clk='1'then

8位串行密码锁 大连理工大学城市学院

if load='0'then

la<='1';

end if;

end if;

end process;

process(clk,clr)

variable a:integer range 0 to 8;

begin

if clr='0'then

lam<=“00000000”;

shift<=“00000000”;

a:=0;

lt<='0';

lf<='0';

alm<='0';

elsif clk'event and clk='1' then

if lt='0'then

if a/=8 then

if k1='0'then

shift<='1'&shift(7 downto 1);

lam<='1'&lam(7 downto 1);

a:=a+1;

elsif k0='0'then

shift<='0'&shift(7 downto 1);

lam<='1'&lam(7 downto 1);

a:=a+1;

end if;

else

a:=0;

if shift=lock then

lt<='1';

elself<='1';

alm<='1';

end if;

end if;

elsif la='1'then

if k1='0'then

lock<='1'&lock(7 downto 1);

lam<='0'&lam(7 downto 1);

elsif k0='0'then

lock<='0'&lock(7 downto 1);

lam<='0'&lam(7 downto 1);

end if;

end if;

8位串行密码锁 大连理工大学城市学院

end if;

end process;

lamp<=lam;end corn_arc;

8位串行密码锁

第五篇:《模拟电路》课程设计心得体会

精选范文:《模拟电路》课程设计心得体会(共2篇)本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做完了课程设计。在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地连接电路,然后翻阅了大量书籍,查资料,终于在书中查到了有关章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数字频率计时就不是那么一帆风顺了。我同样是查阅资料,虽找到了原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来代替。在此,我深表遗憾!这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些![《模拟电路》课程设计心得体会(共2篇)]篇一:模拟电路课程设计心得体会

模拟电路课程设计心得体会

本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。

这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做完了课程设计。

在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地连接电路,然后翻阅了大量书籍,查资料(material),终于在书中查到了有关章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数字频率计时就不是那么一帆风顺了。我同样是查阅资料(material),虽找到了原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来代替。在此,我深表遗憾![《模拟电路》课程设计心得体会(共2篇)] 这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!篇二:郑慎课程设计心得体会

课程设计心得体会

两个学期我们分别开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业联系非常密切,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨数电刚学完之际,紧接着来一次电子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,也是学以致用。这次课程设计使我了清楚的认识到了其的重要性,在以后的学习中也起着相当重要的作用。数字电子技术主要研究各种逻辑门电路、集成器件的功能及其应用,逻辑门电路组合和时序电路的分析和设计、集成芯片各脚功能.555定时器等.随着计算机技术突飞猛进地发展,用数字电子技术进行信号处理的优势也更加突出。为了充分发挥和利用数字电路在信号处理上的强大功能,我们可以先将模拟信号按比例转换成[《模拟电路》课程设计心得体会(共2篇)]数字信号,然后送到数字电路进行处理,最后再将处理结果根据需要转换为相应的模拟信号输出。这种用数字电路处理模拟信号的所谓“数字化”浪潮已经席卷了电子技术几乎所有的应用领域。技能懂得了怎样分析零件的工艺性,怎样确定工艺方案,了解了模具的基本结构,提高了计算能力,绘图能力,熟悉了规范和标准,同时各科相关的课

程都有了全面的复习,独立思考的能力也有了提高。这次课程设计也体现了这些点。

这几天的课程设计,先不说其他,就天气而言,确实很艰苦。贵阳大部这几天都被低温笼罩着。但是我小组没有放弃,通过我们不懈的努力与切实追求,终于做完了课程设计。

在这次课程设计过程中,我们也遇到了很多问题。比如在画图时,我就弄了很长时间,不知道怎么画,这直接导致了我无法很顺利地连接电路,然后翻阅了大量书籍,查资料,终于在书中查到了有关章节,这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!

短短几天的课程设计结束了,回想起我在期间所付出的,所经历的,所努力的,不禁在心里烙下了深深的印记。在这次的课程设计中既检验了我所学习的知识,也培养了我如何去把握一件事情,如何去做一件事情,又如何完成一件事情。我们小组合作,并且和同学们相互探讨,相互学习,相互监督。而队友的合作更是一件快乐的事情,只有彼此都付出,彼此都努力维护才能将设计做得更加完美。

我认为我们团队的工作都很认真而且负责,团队的力量让我个人觉得自己的渺小,我想在今后的学习和工作中,我

会更加发扬团结协作的精神。就像是在设计中,只有一个人知道原理是远远不够的,必须让我们所有人都知道,才能做好这次设计。

电自08151班

郑慎

下载模拟电路与数字电路[5篇范文]word格式文档
下载模拟电路与数字电路[5篇范文].doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    电路及模拟电路总结及心得体会

    电路和模拟电路的心得体会 经过这一学期的模电学习,我对这门课有了个基本的认识和了解。刚开学的时候,老师就告诉我们这门课很重要,要我们好好认真的学。同时他还告诉我们,这门......

    模拟电路实验心得

    模 拟 电 路 实 验 心 得 体 会 级电信二班 杨晓奇 20130922222 13 时间过得很快,转眼间一学期过去了,模拟电路实验这门课也接近了尾声。在这学期学习过程中,有欢笑,有汗水,有......

    模拟电路实习报告

    目录 第一章 焊接的基本工具及材料 .................................................. 1 1.1焊接工具 ....................................................................

    模拟电路课程设计指导书

    《模拟电路课程设计》指导书 一、模拟电路课程设计的基本任务 《模拟电路课程设计》是在“电子技术基础”课程之后,集中安排的重要实践性教学环节。学生运用所学的知识,动脑又......

    《模拟电路》课程设计心得体会

    本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习......

    模拟电路课程设计教学大纲

    模拟电路课程设计 课程代码:不知道 中文名称:模拟电路课程设计 授课专业:电子科学与技术 学时:18 学分:1 一、 目的与任务 模拟电路课程设计是模拟电子技术课程重要的实践性教......

    模拟电路教学心得

    2010-09-06 第一次课电子本09(1)(2) 今天是第一节课,上课前算是做了充分准备,但难免有点紧张,背后直流汗,无奈! 上课后,马上就进行了课程的介绍,课后感觉太唐突了,听了王立娟老师的课,发......

    数字电路与逻辑设计实验报告(大全)

    实验 报告书 课程名称数字电路与逻辑设计专业计算机科学与技术班级2姓名刘腾飞学号09030234 指导教师王丹志成绩 2010年 年 11月 月 10 日实验题目:译码器、数据选择器及其......