《数字电路与逻辑设计》课程教学大纲

时间:2019-05-12 20:56:47下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《《数字电路与逻辑设计》课程教学大纲》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《《数字电路与逻辑设计》课程教学大纲》。

第一篇:《数字电路与逻辑设计》课程教学大纲

《数字电路与逻辑设计》课程教学大纲

先修课程:高等数学、普通物理、电路与电子学

(一)课程地位、性质和任务

《数字电路与逻辑设计》是计算机科学与技术专业的主干课程,是一门专业技术基础课。它不仅为《计算机组成原理与汇编程序设计》、《微机接口技术》、《计算机系统结构》、《数据通信与计算机网络》等后续课程提供必要的基础知识,而且是一门理论与实践结合密切的硬件基础课程。

(二)课程教学基本要求

本课程是计算机科学与技术专业的一门专业基础课程,通过本课程的学习,使学生熟悉数字电路的基础理论知识,理解基本数字逻辑电路的工作原理,掌握数字逻辑电路的基本分析和设计方法,具有应用数字逻辑电路,初步解决数字逻辑问题的能力,为学习计算机硬件打下扎实的基础。

(三)课程主要内容及学时分配

第一章 逻辑代数基础

逻辑代数是分析和设计数字电路的数学工具,本章主要介绍逻辑代数的公式、定理及逻辑函数的化简方法,要求掌握常用进制及其转换,基本和常用逻辑运算,逻辑代数的公式、定理,逻辑函数的公式、图形化简化,逻辑函数的五种表示方法及相互之间的转换。教学重点:

逻辑代数的公式、定理,逻辑函数的公式、图形化简法。教学难点:

公式、定理、规则的正确应用,逻辑函数化简的准确性。方法提示:

通过多举例子,多做练习以提高对公式应用的熟练性。

第二章 逻辑门电路

集成逻辑门是构成数字电路的基本单元,本章主要介绍MOS和TTL集成逻辑门的逻辑功能的电气特性。要求掌握高、低电平与正、负逻辑的概念,二极管、三极管、MOS管的开关特性,熟悉二极管与门和或门,三极管非门的电路结构及工作原理,掌握其电气特性和功能。掌握与门、或门、非门、与非门、或非门、与或非门、异或门、三态门、OC门、CMOS传输门的逻辑符号、逻辑功能,熟悉各种门电路的特点和使用方法。教学重点:

CMOS和TTL集成门电路重点是外部特性,即逻辑功能和电气特性。教学难点:

CMOS和TTL集成门电路的电气特性

方法提示:

理论与实践相结合,加深对TTL集成门电路的电气特性的理解掌握。

第三章 组合逻辑电路

本章主要介绍组合逻辑电路的分析和设计方法以及常用典型组合电路的功能、应用。要求掌握组合电路的特点、基本分析和设计方法。掌握编码器、译码器、数值比较器、数据分配器、数据选择器、加法器等常用组合电路的功能、应用及实现方法。熟悉典型中规模集成组合逻辑器件的功能及用中规模集成器件实现组合逻辑函数的方法,了解组合电路中的竞争冒险。

教学重点:

组合逻辑电路的分析和设计方法,常用中规模集成器件的功能和应用。教学难点:

组合逻辑电路的设计

方法提示:理论联系实际,加深理解记忆。

第四章 触发器

本章主要介绍各类触发器的逻辑功能及触发公式,它是构成时序电路的基本单元,要求熟悉RS、JK、D、T触发器的电路结构、工作原理,掌握RS、JK、D、T触发器的逻辑符号、逻辑功能表示方法、触发方式及触发器间的相互转换。教学重点:

各类触发器的逻辑功能及触发方式。教学难点:

触发器的触发方式。方法提示:

多举例、多看、多练习,在第五章时序逻辑电路的教学中再强调。

第五章

时序逻辑电路

本章主要介绍时序电路的分析和设计方法,以及计数器等常用典型时序电路的功能及应用。要求:掌握时序电路的特点、分类、功能描述方法,时序电路的基本分析和设计方法。熟悉计算器、寄存器、移位寄存器、顺序脉冲发生器的功能、应用。掌握同步、异步计数器的工作原理,常用中规模集成计数器的功能、应用以及用中规模集成计数器构成N进制计数器的方法。

教学重点:

时序电路的分析和设计方法,计数器、寄存器的功能、分类,常用中规模集成计数器功能、应用。

教学难点:

时序逻辑电路的设计方法。

第六章

半导体存储器

本章介绍只读存储器(ROM)、随机存储器(RAM)以及存储器的扩展。教学重点:

存储器的扩展 教学难点:

存储器内部结构、原理

第七章 数模、模数转换电路

本章主要介绍D/A转换器和A/D转换器的基本原理,几种典型D/A,A/D转换器电路。要求熟悉D/A,A/D转换器的基本原理及倒T型电阻网络D/A转换器,逐次逼近型、双积分型A/D转换器的基本工作原理。教学重点:

典型D/A,,A/D转换器的基本工作原理。教学难点:

典型D/A,A/D转换器的基本工作原理。

第八章 可编辑逻辑器件

本章介绍可编程逻辑器件(PLD)的基本结构及分类,PLA,PAL,GAL的基本原理特点及应用。

教学重点:

PLD的基本结构,PLA的基本原理、特点及应用。教学难点: PLA、GAL的基本原理、特点及应用。

第九章 可编程逻辑器件的开发及应用

自学提高

第十章 数字电路CAD技术

自学提高

(四)使用教材及参考书目:

1、使用教材

《数字电路与逻辑设计》

子节涛等编著

国防科技大学出版社

2、参考书目

《数字电子技术基础》

阎石主编

高等教育出版社 《数字电子技术基本教程》

宋樟林等主编著

《电子技术基础》(数字部分)

康华光主编

高等教育出版社

《操作系统》课程教学大纲

(一)本课程地位、性质和任务

《操作系统》是计算机专业的必修主要课程之一,是研究如何有效地管理、使用计算机的一门学科,为《编译系统》、《计算机网络》、《分布式操作系统》等课程提供必要的基础知识。操作系统是计算机系统必须配置的一种系统软件,几乎所有的计算机系统都离不开操作系统,它在计算机系统中具有举足轻重的地位,它向下隐藏了计算机系统的具体细节,向上为计算机系统中其他软件提供一致的服务和使用界面,为用户提供一个良好的操作环境。通过学习和研究操作系统,可以打破操作系统的神秘性,了解操作系统的内部结构。掌握操作系统的设计方法,熟悉操作系统的操作和使用。为锻炼学生开发系统的综合能力打下扎实的基础。

(二)课程教学的基本要求

该课程采用讲授和上机实验相结合的教学方法,要求学生通过该课程的学习: 正确理解操作系统的概念,分类和形成与发展;特别是操作系统的基本特征和操作系统的功能结构;

正确理解系统的基本工作单位和进程的五大特征,熟悉掌握操作系统中进程管理的功能;

掌握操作系统存储管理有关的基本概念,深入理解几种常用存储管理的基本原理及实现方法;

理解操作系统设备管理的任务,掌握中断技术、通道技术和缓冲技术实现中央处理器与外部设备的并行工作,理解设备的调度和分配;

理解文件系统的功能和文件的安全性,掌握文件系统中文件的组织和存储; 正确理解作业的调度和控制、操作系统的接口;

所学的操作系统原理对现行主流操作系统进行实例分析;

(三)课程主要内容及学时分配

1、操作系统概论

知识点:操作系统的定义、视点及认识;操作系统的基本类型及其特点;操作系统的形成与发展;

重点:掌握操作系统的基本特征和操作系统的地位、作用和效果; 教学难点:虚拟机概念的讲解。

2、处理器管理 知识点:中断、多道程序设计、并发程序设计、进程的概念;进程管理功能;进程的控制及调度;处理器基本工作单位的控制粒度;进程并发的含义;进程的同步机制;进程通信;死锁。

重点难点:处理器管理

3、存储器管理

知识点:存储器管理的基本概念;连续存储空间存储管理的原理实现;非连续存储空间存储管理的原理及实现;虚拟存储空间的概念及实现。重点难点:存储管理

4、文件系统管理

知识点:文件及文件系统的概念;文件目录;文件的共享、保护及保密。重点:文件的组织与存储 难点:文件操作的执行过程。

5、设备管理

知识点:I/O操作与设备和概念;缓冲技术及PnP技术;中断处理及驱动程序。

重点:设备的分配和调度

难点:I/O控制方式及具有通道的I/O系统管理;虚拟设备、设备一致性、设备无关性的概念。

6、作业管理

知识点:操作系统的结构模型;作业管理的概念;作业管理的功能;作业的状态,调度控制等问题;

重点:作业管理的功能;

难点:作业调度与控制。

7、用户接口与操作环境

知识点:操作系统的用户接口的分类;命令接口,程序接口,环境接口的功能与实现; 重点难点:三种接口的功能。

8、操作系统的安全

知识点:操作系统安全性概念;安全机制;安全系统的设计; 重点:系统安全概念与机制; 难点:安全系统的设计。

(四)使用教材与参考书目

1、建议选用教材:刘乃琦,吴跃编著《计算机操作系统》 电子工业出版社。

2、主要参考书:

史美林等编著《计算机操作系统教程》 清华大学出版社。

第二篇:数字电路与逻辑设计教学大纲

《数字电路与逻辑设计》教学大纲

适用专业:通信工程、信息工程、自动化、测控技术与仪器、电气工程及其自动化 课程类别:专业基础课 先修课程:电路原理 总 学 时:66 学

分:3 考核方式:考试

一、课程的性质与任务

本课程是信息工程、通信工程、自动化、测控技术与仪器和电气工程及其自动化专业学生必修的技术基础课程,是一门实践性很强的课程。通过本课程的学习,使学生掌握数字逻辑和数字系统的基础知识、基本分析方法和设计方法,培养使用标准逻辑器件的能力,初步了解可编程器件的知识,为深入学习后续课程和从事数字技术实际工作打下良好基础。

二、课程内容、基本要求与学时分配

1、绪论(2学时)

了解数字信号与模拟信号的定义与区别; 掌握各种数制间的转换; 了解常用的各种码制; 了解数字电路的分类;

2、逻辑函数及其化简(6学时)掌握布尔代数的运算规则;

掌握逻辑变量与逻辑函数的表示方法; 掌握逻辑函数的公式法化简法;

掌握卡诺图的绘制方法和用图解法化简逻辑函数;

3、集成逻辑门(6学时)

了解晶体管的开关特性;

了解TTL集成逻辑门的外部特性; 了解CMOS集成逻辑门的外部特性;

4、组合逻辑电路(8学时)掌握组合逻辑电路的分析方法;

掌握用逻辑门电路设计组合逻辑电路的方法; 掌握用中规模集成电路设计组合逻辑电路的方法; 了解组合逻辑电路的冒险现象;

5、触发器(8学时)

掌握各类触发器的特征方程和功能描述方法; 掌握基本触发器和钟控触发器的工作原理; 了解主从触发器和边沿触发器的工作原理;

6、时序逻辑电路(8学时)

掌握同步、异步时序逻辑电路的分析方法; 了解常用集成时序逻辑器件的使用方法;

掌握用小规模IC器件和中规模IC器件设计同步时序逻辑电路的方法; 了解异步时序逻辑电路的设计方法。

7、半导体存储器(2学时)

了解随机存取存储器和只读存储器的工作原理; 掌握随机存储器的扩展方法;

了解用只读存储器设计组合逻辑函数的方法;

8、可编程逻辑器件及其应用(2学时)

初步了解可编程逻辑阵列、通用阵列逻辑(GAL)、复杂可编程逻辑器件(CPLD)、现场可编程门阵列(FPGA)的结构特点和工作原理

9、脉冲单元电路(2学时)了解自激多谐振荡器的工作原理; 了解单稳触发器的功能;

了解555时基电路的结构特点和应用;

10、模数转换器和数模转换器(4学时)了解数模转换器和模数转换器的基本原理; 了解常用数模转换器和模数转换器的特性

本课程的理论教学时数为48学时,2.5学分。

三、课程的其他教学环节

本课程安排有实验教学环节18学时,0.5学分。

四、参考教材

1、《数字电子技术》庞学民主编 清华大学出版社 2005年

2、《数字电路逻辑设计》王毓银主编 高等教育出版社 1999年

3、《电子技术基础》数字部分(第四版)康华光主编 高等教育出版社 2000年

4、《数字逻辑与数字系统》白中英、岳怡、郑岩编著 科学出版社 1998年

五、说明

本课程在教学方法上采用讲授理论与实验动手相结合的形式进行,以便学生更好的理解所学的理论知识。在理论教学过程中,要注重方法的讲解,以提高学生分析问题、解决问题的能力。

大纲执笔人:刘炜

大纲审定人:张广忠 2006年3月31日

第三篇:《数字电路与逻辑设计》(网络)教学大纲

《脉冲与数字电路》教学大纲(计算机类)

一、课程性质、地位和作用

《脉冲与数字电路》是通信专业、电子工程专业的一门重要专业技术基础课,属核心必修课。本课程理论严谨、实践性和应用性强。其任务在于研究数字逻辑电路和脉冲电路的基本概念、基本理论和电路的分析与设计方法,为后续课程提供必要的理论基础,并为学生毕业后从事日新月异发展的数字电子科学技术提供一定的适应能力与基础。

二、课程教学对象、目的和要求

计算机类专业课程教学目的及要求:

(一)从内容上,应使学生牢固掌握各种进制数的相互转换;数字系统中常用的编码;逻辑代数的基本公式、定理及运算规则;逻辑函数的公式法和卡诺图法化简;中小规模组合逻辑电路、时序逻辑电路的分析与设计方法。了解常用集成逻辑器件、可编程逻辑器件、存储器及模数与数模转换器的功能及其应用等内容。

(二)从能力方面,应使学生在学习本课程理论知识的同时,重视和加强实践训练,注重应用能力的培养,使理论和实践紧密结合,在实践训练中逐步学会分析、查寻和排除故障的方法,培养正确选用集成器件进行逻辑设计和解决实际问题的能力。

(三)从教学方法上,着重基本概念的解释,引导学生正确应用所学知识,分析和解决实际问题。

三、相关课程及关系

本课程的先修课程包括“电路分析基础”、“电子线路”等,本课程的学习应在学生掌握一定电子电路知识的基础上进行。与此同时,本课程为后续的“单片机”、“EDA”、“微机接口技术”、“数字信号处理”等课程打下了必要的理论基础。

四、课程内容及学时分配(*表示不作主要要求)

总学时:56学时

(一)数制与编码:3学时

1、数的各种进制及相互转换

2、数子系统中的常用编码

—1—

3、二进制数的负数表示法(原码、反码、补码)

要求学生掌握:不同数制间的相互转换、常用编码及二进制数的负数表示法。

(二)逻辑代数基础:10学时

1、逻辑代数的基本公式和运算规则

2、逻辑函数及其表示方法

3、逻辑函数的公式化简法

4、逻辑函数的卡洛图化简法

要求学生理解:最小项和相邻项的意义;最大项与最小项关系及性质;任意项、约束项、无关项的概念。掌握:逻辑代数中的基本逻辑运算、基本定律、基本公式和用卡诺图。重点掌握:逻辑函数的公式法和卡洛图法化简。

(三)集成逻辑门:4学时

1、基本逻辑门电路

2、TTL集成逻辑门

3、*CMOS集成逻辑门

4、*TTL电路与CMOS电路的接口

要求学生了解:二极管、三极管的开关特性及分立元件门电路;各类集成逻辑门电路使用中应注意的问题。掌握:TTL集成逻辑门的逻辑功能、外特性及相关参数;CMOS集成门逻辑门的逻辑功能及特点。

(四)组合逻辑电路:10学时

1、组合逻辑电路分析(SSI、MSI)

2、组合逻辑电路设计(SSI、MSI)

3、常用集成组合逻辑器件

4、*组合电路的竞争冒险

要求学生了解:组合逻辑电路的竞争冒险产生的原因和消除的方法;掌握:组合逻辑电路分析(SSI、MSI);常用集成组合逻辑器件的功能、应用及函数表达式;SSI设计组合逻辑电路的方法(输入端只允许有原变量,器件数最少(补充))。重点掌握:MSI设计组合逻辑电路的方法(比较法、扩展法、降维图法(补充))。

(五)集成触发器::8学时

1、基本触发器(同步R-S、D、J-K、T、T)

—2—

2、主从触发器(R-S、J-K)

3、边沿触发器(R-S、D;J-K;传输门构成的边沿触发器)

4、不同类型触发器的相互转换

要求学生深刻理解:同步触发器的空翻现象;同步清零与异步清零;主从JK触发器的一次翻转现象;不同类型触发器的工作原理及各自的特点。牢固掌握:同步、主从、边沿触发器的逻辑功能、特性表、特性方程、状态图及时序波形的画法。

(六)时序逻辑电路:12学时

1、时序逻辑电路概述

2、时序逻辑电路分析(同步、异步)

3、同步时序逻辑电路设计

4、*异步时序逻辑电路设计

5、*序列信号发生器

要求学生深刻理解:数码寄存器、移位寄存器、加法计数器、减法计数器、移存型计数器的定义及工作原理。牢固掌握:同步、异步时序电路的特点、功能描述和分析方法;同步时序电路的设计,中规模集成器件实现任意模值计数(分频)器)。

(七)数模和模数转换器:4学时

1、D/A转换器

2、A/D转换器

要求学生了解:D/A、A/D转换器的电路结构、工作原理及性能指标。

(八)半导体存储器:4学时

1、顺序存取存贮器(SAM)

2、随机存取存储器(RAM)

3、只读存储器(ROM)

要求学生了解:各类存储器的电路结构和工作原理;用ROM 实现组合逻辑函数的方法。掌握:存储器容量的字扩展和位扩展方法。

(九)可编程逻辑器件:2学时

1、可编程逻辑器件(PAL)

2、通用阵列逻辑(GAL)

3、*现场可编程门阵列(FPGA)

—3—

4、*在系统可编程逻辑器件(ISP-PLD)

要求学生了解:可编程逻辑器件的基本结构和工作原理。

五、实践教学环节

《脉冲与数字电路》单独开设实验课,本大纲仅适用于理论课程。

六、作业(习题)要求

要求每章节结束后布置相应的作业,作业量以中等程度学生在二小时左右完成为宜。

七、考核

本科课程采用闭卷考试,内容包括教学大纲所列全部内容,以大纲所列重点为主。

八、教材与主要参考书

(一)推荐使用教材: 杨志忠主编

《数字电子技术基础》

高等教育出版社

(二)主要参考书目: 阎石主编

《数字电子技术基础》

高等教育出版社

王毓银编

《数字电路逻辑设计》

高等教育出版社 刘宝琴编

《数字电路与系统》

清华大学出版社

—4—

第四篇:修订版2010年《数字电路与逻辑设计》教学大纲

《数字电路与逻辑设计》课程本科教学大纲3 Digital Circuit And Logic Design

一、课程编号:010131

二、课程类型:

课程性质:必修课

适用专业:通信工程、电子信息工程、电子信息科学与技术,信息工程等专业普通班

课程学时/学分: 56学时/3.5学分

先修课程:电路分析基础、线性电子电路

三、课程任务:

本课程是通信工程、电子信息工程、电子信息科学与技术等专业的主要技术基础课。本课程具有极强的逻辑性和实用性,是一门硬件基础课程,它作为上述专业众多的后续课程的基础,作为电子、通信领域中实际应用的基础,其作用举足轻重。通过本课程的学习,使学生掌握逻辑代数和逻辑设计基础理论,掌握数字电路分析和数字电路设计的基本方法。为学生今后在信息技术天地中驰骋奠定坚实的硬件基础。教学活动中应当强调启迪学生的逻辑思维方法,建立学生的逻辑思维能力,给学生留有足够的想象空间,引导学生培养创新应用、开发数字电路器件的能力。

四、课程主要内容及学时分配:

第1章

数字逻辑基础(10学时)第2章

逻辑门电路(6学时)第3章

组合逻辑电路(8学时)第4章

集成触发器(6学时)第5章

时序逻辑电路(12学时)

第7章

半导体存储器和可编程逻辑器件(7学时)第8章 D/A和A/D转换(1学时)第9章

脉冲电路(4学时)机动(2学时)

五、教学基本要求:

1、掌握数字系统中常用的数制(二进制、八进制、十进制、十六进制)及其转换方法,掌握常用编码及其表示十进制数的方法,掌握逻辑代数的逻辑运算、公式和规则,掌握逻辑函数及其表示方法,掌握逻辑函数的化简方法;

2、掌握TTL、CMOS逻辑门的逻辑功能、电气特性、应用和使用注意事项;

3、掌握组合逻辑电路的特点,掌握用传统方法分析和设计组合逻辑电路,重点掌握常见中规模组合逻辑器件(MSI)(译码器、数据选择器、运算电路)的逻辑功能和应用,了解组合逻辑电路中的冒险现象;

4、掌握触发器的分类和逻辑功能,重点掌握主从型和边沿触发器的特点和应用;

5、掌握时序逻辑电路的特点、时序逻辑电路的分析方法和设计方法,重点掌握常见中规模时序逻辑器件(MSI()CT74160、CT74161、CT74163、CT7490、CT74194)的逻辑功能和用MSI器件构成任意模值计数器的方法;

6、熟悉半导体存储器(SAM、ROM、RAM)的结构特点、工作原理和扩展方法,掌握ROM、PROM阵列在组合逻辑设计中的应用;理解PLA、PAL、GAL和FPGA等各种可编程逻辑器件的基本结构特点和工作原理;了解各种PLD器件如何配置以实现基本组合或时序逻辑功能。

7、了解A/D转换和D/A转换的基本工作原理;了解常用A/D转换器和D/A转换器的电路结构和应用特点;了解常用的集成ADC和集成DAC,了解A/D转换器和D/A转换器的主要参数;

8、掌握脉冲信号和脉冲电路的特点,掌握用555定时器构成数字电路中的常见脉冲电路,如施密特触发器,单稳态触发器和多谐振荡器的方法。

十、教材及主要参考书:

教 材: 《数字电路与逻辑设计》 邹虹主编 人民邮电出版社 2008年

主要参考书:

《数字电路与逻辑设计》(第三版)王毓银主编 高等教育出版社1999年

《数字基础》

科学出版社 2002年3月

《数字电子技术基础》(第四版)阎石主编 高等教育出版社1998年

第五篇:数字电路与逻辑设计实验报告

实验 报告书

课程名称

数字电路与逻辑设计

计算机科学与技术

09030234

指导教师

成绩

2010年 年 11月 月 10 日

实验题目:

译码器、数据选择器及其应用

一、实验目的 1、掌握中规模集成译码器与数据选择器的逻辑功能与使用方法

2、熟悉数码管的使用 3、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 1 1、中规模集成译码器 74 LS 138

74LS138是集成3线-8线译码器,在数字系统中应用比较广泛。图-1是其引脚排列。其中 A2、A1、A0为地址输入端,0Y~ 7Y为译码输出端,S1、2S、3S为使能端。

图-1 74LS138真值表图-2如下:

图-2 74HC138工作原理为:当S1=1,S— 2+S — 3=0时,器件使能,电路完成译码功能,输出低电平有效。当S=0,S— 2+S — 3=X时,或S1=1, S— 2+S — 3=1,译码器被禁止,所有输出同时为1 2 2、双4 4 选1 1 数据选择器

74LS153 ?

所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图-3所示,功能表如图-4所示。

图-3

输入 输出 S—

A1 A0 Q 1 0 0 0 0 X 0 0 1 1 X 0 1 0 1 0 D0 D1 D2 D3 图-4

1S—、2S — 为两个独立的使能端;A1、A0为两个公用的地址输入端;1D0~1D3和2D0~2D3分别为两个4选1数据选择器的数据输入端;Q1、Q2为两个输出端。

当使能端1S—(2S —)=1时,多路开关被禁止,无输出,Q=0。

当使能端1S—(2S —)=0时,多路开关正常工作,根据地址码A1、A0的状态,将相应的数据D0~D3送到输出端Q。3、8 8 选1 1 数据选择器 74LS151

74LS151为互补输出的8选1数据选择器,引脚排列如图-5所示,功能表如图-6所示。

图-5

图-6 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,S— 为使能端,低电平有效。

使能端S— =1时,不论A2~A0状态如何,均无输出,多路开关被禁止。

使能端S— =0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中某一个通道的数据输送到输出端Q。

三、实验设备及器件 ●

硬件:PC机一台 ●

软件:QuartusⅡ5.0集成开发环境 四、实验内容 1.使用74LS138实现逻辑函数 F=A’B’C’+AB’C’+ABC 2.使用74LS151实现逻辑函数 F=AB’+A’B+AB 3.使用74LS153实现逻辑函数 F=A’BC+AB’C+ABC’+ABC

五、实 验过程 1、使用74LS138实现逻辑函数 F=A’B’C’+AB’C’+ABC ① 由74LS138功能表(图-1)可知电路图连接如图-7所示

图-7 ② 经编译检查无错(图-8)

图-8

③ 对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-9

图-9 2、使用74LS151实现逻辑函数F=AB’+A’B+AB

①将输入变量C、B、A作为8选1数据选择器的地址码A2、A1、A0。使8选1数据选择器的各个数据输入D0~D7分别与函数F的输出值一一对应,即A2A1A0=CBA、D0=D2=D3=0、D0=D4=D5=D6=D7=1则输出Q便实现了函数AB’+A’B+AB接线图如图-10

图-10 ②经编译检查无错(图-11)

图-11 ③对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-12

图-12 3、使用74LS153实现逻辑函数 F=A’BC+AB’C+ABC’+ABC

①函数F有3个输入变量A、B、C,而数据选择器有2个地址端A1、A0少于数据函数输入变量个数,在设计时可任选A接A1,B接A0。接线如图-13

图-13

②经编译检查无错如图-14

图-14 ③对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-15

图-15 六、实验心得 通过这次试验,我熟练掌握了74LS138译码器、74LS151和74LS153数据选择器的使用特点和方法。加强了对这些知识的了解,熟练掌握了QuartusⅡ5.0集成开发环境的使用。

下载《数字电路与逻辑设计》课程教学大纲word格式文档
下载《数字电路与逻辑设计》课程教学大纲.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    《数字电路与逻辑设计》考试大纲

    《数字电路与逻辑设计》考试大纲(一)基本要求 1. 掌握二进制、八进制、十进制、十六进制及其转换方法,掌握常用编码及其表示十进制数的方法,掌握逻辑代数的逻辑运算、公式和规则......

    数字电路与逻辑设计实验总结报告

    第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法......

    数字电路与逻辑设计(4月教案)

    7 中规模通用集成电路及其应用 教学内容:本节内容是针对上节组合逻辑电路分析的推广,主要介绍采用中、大规模集成电路组成数字系统的方法以及应用。包括使用最广泛的中规模组......

    数字逻辑设计及应用课程教学大纲

    《数字逻辑设计及应用》课程教学大纲 课程编号:53000540 适用专业:电子信息类专业(包括通信工程、网络工程、信息工程、电子信息工程、信息对抗技术、电磁场与天线技术、电波......

    北邮数字电路与逻辑设计实验报告

    北京邮电大学数字电路与逻辑 设计实验报告 学院: 班级: 姓名:学号: 实验一 Quartus II原理图输入法设计与实现 一、实验目的: (1) 熟悉Quartus II原理图输入法进行电路设计和仿......

    数字电路教学大纲

    《数字电路》教学大纲 一、课程基中、信息 1、课程中文名称:数字电路 2、课程类别:必修 3、适用专业:教育学教育技术 4课程地位:基础课 5、总学时数:72学时(其中理论课60学时,实验......

    数字电路与逻辑设计课程设计指导书[五篇范例]

    数字逻辑设计 课程设计指导书 适用专业:计算机大类 目 录 一、课程设计目的 ................................................................................................

    《VHDL与可编程逻辑设计》教学大纲2011

    《VHDL与可编程逻辑设计》教学大纲 一、 总学时:36(理论学时:20 实验学时:16)学分:2.0 二、 教学目的: 现代电子设计技术的核心是EDA(Electronic Design Automation)技术,而EDA的技......