专题:数字电路设计实验报告
-
数字电路设计实验报告(5篇)
数字电路设计实验报告 引言 本课程是面向智能车制作的课程,但是主要讲述的是一些关于智能车制作的一些最基本的基础知识。比如说一些单片机的知识和一些相应数字电路的知识。
-
数字电子钟逻辑电路设计总结报告
课程设计总结报告 写总结报告是对学生写科学论文和科研总结报告的能力训练。总结报告包括以下内容: 1.报告名称 2.内容摘要(
-
数字电子钟实验报告
本科实验报告 学号: 指导教师: 2016年7月19日 课程名称: 姓名: 院系: 专业: 电子电路安装与调试 陈肖苇、李晓杰、张晨靖 信息与电子工程学院 电子科学与技术 3140104544 王子
-
三相交流调压电路设计实验报告资料
1 电力电子技术课程设计 二级学院:课程名称:设计题目:姓 名:学 号:设计班级:指导教师:设计时间:实训报告 自动化学院 电力电子技术 三相交流调压电路设计目录 2 电力电子技术课程设
-
《数字解调技术》实验报告
《数字解调技术》实验报告班级: 学号: 姓名: 一、实验目的 1.掌握BPSK相干解调的原理。2.熟悉BPSK数字解调过程实现
-
数字逻辑电路实验报告五篇
数字逻辑电路设计 --多功能数字钟 学院:计算机科学与通信工程 专业: 姓名: 学号: 指导老师: 江苏大学计算机10 数字逻辑电路设计报告 多功能数字钟 一、设计任务及要求 (1)拥
-
数字电子时钟实验报告
华大计科学院 数字逻辑课程设计说明书 题目: 多功能数字钟 专业: 计算机科学与技术 班级:网络工程1班 姓名:刘群 学号: 1125111023 完成日期: 2013-9 一、设计题目与要求 设
-
数字逻辑电路实验报告(合集5篇)
《数字逻辑电路》实验报告第次实验:姓名:学号:级系班
邮箱:时间:正文(由下面八项内容评定每次实验报告成绩)
一、 实验目的本次实验预期要学习到的知识、方法等二、实验原理(背景知 -
交通灯控制电路设计自动化 数字电子技术课程设计
综 述 本次设计主要分为四个部分,第一部分:信号产生电路;第二部分:电子示电路;第三部分:倒计时设计,第四部分:交通灯及交通灯控制电路 在本次设计中采用555定时器产生CP=1Hz的脉冲信
-
VHDL数字电路设计教程第六章习题答案
P6.1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity chp6_1 is port(clk:in std_logic; d_out:out std_logic_vector(5 downto 0)
-
DT830B数字万用表组装实验报告
北京理工大学珠海学院 课程设计说明书 题 目:DT830B数字万用表组装实验报告 专业班级: 电子科学与技术4班 学 号: 100105041038 学生姓名: 杨凇 指导教师: 范杰 2011年12月23日
-
FPGA可调数字时钟实验报告
浙江大学城市学院实验报告纸 一、实验要求 1、用vhdl编程,实现10进制计数器 2、用vhdl编程,实现60进制计数器 3、用vhdl编程,实现数字时钟,时、分、秒、毫秒分别显示在数码管上
-
DT830B数字万用表组装实验报告
课程综合实训报告 目: 级: 业: : 数字万用表组装实验报告 湖南理工学院南湖学院11级 电子信息工程 刘向阳 题 DT830B 年 专 学生姓名 2011年11月13日 目录 一、实训目的
-
数字时钟的设计实验报告
实验报告 数字时钟的设计 一、 实验目的 1、 掌握数字钟的设计方法。 2、掌握计数器相互级联的方法。 学号:14040120049 姓名:陶泽二、 实验设备和器件 1、数字逻辑电路实验板
-
北航eda实验报告数字部分
Verilog数字电路设计 实验报告 院系: 组员: 年月日 Verilog实验报告 目录 分组概况 ..................................................................................
-
数字电子技术设计性实验报告
数字电子技术设计性实验报告设计题目:
专业
班级
学号
学生姓名
指导教师
教师评分年月日 -
数字摄影测量系统实验报告
数字摄影测量系统实验报告专业:测绘工程
姓名:刘吉羽
学号:200831080112一.实验目的:
体会数字摄影测量系统进行立体测图的主要功能及主要作业步骤。从像对的内定向,相对定向,绝 -
电路设计自荐书
我是四川职业技术学院、即将毕业于2009年6月的学生。所学的专业是;应用电子技术。我仰慕贵单位重知识,重视 人才 之名,希望能成为贵单位的一员,为单位的事业发展尽我全力。本人