半导体论文

时间:2019-05-15 09:13:48下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《半导体论文》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《半导体论文》。

第一篇:半导体论文

半导体器件论文试题(任选5题)

《1月7号前交给班长,要求手写》

1、阐述本征半导体、P型半导体、N型半导体的概念并给出其能带图。

2、论述PN结的构成及其能带图的成因。

3、论述PN结单向导电的成因。

4、论述PN结被击穿的机理。

5、论述PN结电容的分类及内在机制。

6、以NPN型晶体管为例论晶体管的基本结构及其放大原理。

7、以NPN型晶体管为例论述晶体管反向电流的种类及其成因。

8、论述P-N结模型伏安特性偏离理想方程的原因。

9、推导证明本征半导体的费米能级Ei基本在禁带中间。

10、论述MIS结构表面积累、表面耗尽、表面反型的形成机理。

第二篇:半导体问答

影响工厂成本的主要因素有哪些?

答:Direct Material 直接材料,例如:蕊片

Indirect Material间接材料,例如气体… Labor人力

Fixed Manufacturing机器折旧,维修,研究费用……等

Production Support其它相关单位所花费的费用

在FAB内,间接物料指哪些?

答:Gas 气体 Chemical 酸,碱化学液 PHOTO Chemical 光阻,显影液 Slurry 研磨液 Target 靶材 Quartz 石英材料 Pad & Disk 研磨垫 Container 晶舟盒(用来放蕊片)Control Wafer 控片 Test Wafer测试,实验用的蕊片

什幺是变动成本(Variable Cost)?

答:成本随生产量之增减而增减.例如:直接材料,间接材料

什幺是固定成本(Fixed Cost)? 答:此种成本与产量无关,而与每一期间保持一固定数额.例如:设备租金,房屋折旧及檵器折旧

Yield(良率)会影响成本吗?如何影响?

答:Fab yield= 若无报废产生,投入完全等于产出,则成本耗费最小

CP Yield:CP Yield 指测试一片芯片上所得到的有效的IC数目。当产出芯片上的有效IC数目越多,即表示用相同制造时间所得到的效益愈大.生产周期(Cycle Time)对成本(Cost)的影响是什幺?

答:生产周期愈短,则工厂制造成本愈低。正面效益如下:(1)积存在生产线上的在制品愈少(2)生产材料积存愈少(3)节省管理成本(4)产品交期短,赢得客户信赖,建立公司信誉

FAC

根据工艺需求排气分几个系统? 答:分为一般排气(General)、酸性排气(Scrubbers)、碱性排气(Ammonia)和有机排气(Solvent)四个系统。

高架地板分有孔和无孔作用?

答:使循环空气能流通,不起尘,保证洁净房内的洁净度;防静电;便于HOOK-UP。

离子发射系统作用

答:离子发射系统,防止静电

SMIC洁净等级区域划分

答:Mask Shop class 1 & 100

Fab1 & Fab2 Photo and process area: Class 100

Cu-line Al-Line OS1 L3 OS1 L4 testing Class 1000 什幺是制程工艺真空系统(PV)

答:是提供厂区无尘室生产及测试机台在制造过程中所需的工艺真空;如真空吸笔、光阻液涂布、吸芯片用真空源等。该系统提供一定的真空压力(真空度大于 80 kpa)和流量,每天24小时运行

什幺是MAU(Make Up Air Unit),新风空调机组作用

答:提供洁净室所需之新风,对新风湿度,温度,及洁净度进行控制,维持洁净室正压和湿度要求。

House Vacuum System 作用

答:HV(House Vacuum)系统提供洁净室制程区及回风区清洁吸取微尘粒子之真空源,其真空度较低。使用方法为利用软管连接事先已安装在高架地板下或柱子内的真空吸孔,打开运转电源。此系统之运用可减低清洁时的污染。

Filter Fan Unit System(FFU)作用

答:FFU系统保证洁净室内一定的风速和洁净度,由Fan和Filter(ULPA)组成。

什幺是Clean Room 洁净室系统

答:洁净室系统供应给制程及机台设备所需之洁净度、温度、湿度、正压、气流条件等环境要求。

Clean room spec:标准

答:Temperature 23 °C ± 1°C(Photo:23 °C ± 0.5°C)Humidity 45%± 5%(Photo:45%± 3%)

Class 100

Overpressure +15pa

Air velocity 0.4m/s ± 0.08m/s

Fab 内的safety shower的日常维护及使用监督由谁来负责

答:Fab 内的 Area Owner(若出现无水或大量漏水等可请厂务水课(19105)协助)

工程师在正常跑货用纯水做rinse或做机台维护时,要注意不能有酸或有机溶剂(如IPA等)进入纯水回收系统中,这是因为:

答:酸会导致conductivity(导电率)升高,有机溶剂会导致TOC升高。两者均会影响并降低纯水回收率。

若在Fab 内发现地面有水滴或残留水等,应如何处理或通报

答:先检查是否为机台漏水或做PM所致,若为厂务系统则通知厂务中控室(12222)

机台若因做PM或其它异常,而要大量排放废溶剂或废酸等应首先如何通报

答:通知厂务主系统水课的值班(19105)

废水排放管路中酸碱废水/浓硫酸/废溶剂等使用何种材质的管路?

答:酸碱废水/高密度聚乙烯(HDPE)浓硫酸/钢管内衬铁福龙(CS-PTFE)废溶剂/不琇钢管(SUS)

若机台内的drain管有接错或排放成分分类有误,将会导致后端的主系统出现什幺问题? 答:将会导致后端处理的主系统相关指标处理不合格,从而可能导致公司排放口超标排放的事故。

公司做水回收的意义如何?

答:(1)节约用水,降低成本。重在环保。(2)符合ISO可持续发展的精神和公司环境保护暨安全卫生政策。

何种气体归类为特气(Specialty Gas)? 答:SiH2Cl2

何种气体由VMB Stick点供到机台? 答:H2

何种气体有自燃性? 答:SiH4

何种气体具有腐蚀性? 答:ClF3

当机台用到何种气体时,须安装气体侦测器? 答:PH3

名词解释 GC, VMB, VMP

答:GC-Gas Cabinet 气瓶柜VMB-Valve Manifold Box 阀箱,适用于危险性气体。VMP-Valve Manifold Panel 阀件盘面,适用于惰性气体。

标准大气环境中氧气浓度为多少?工作环静氧气浓度低于多少时人体会感觉不适?

答:21%

19%

什幺是气体的 LEL? H2的LEL 为多少?

答:LEL-Low Explosive Level 气体爆炸下限H2 LEL-4%.当FAB内气体发生泄漏二级警报(既Leak HiHi),气体警报灯(LAU)会如何动作?FAB内工作人员应如何应变?

答:LAU红、黄灯闪烁、蜂鸣器叫听从ERC广播命令,立刻疏散。

化学供应系统中的化学物质特性为何?

答:(1)Acid/Caustic 酸性/腐蚀性(2)Solvent有机溶剂(3)Slurry研磨液

有机溶剂柜的安用保护装置为何?

答:(1)Gas/Temp.detector;气体/温度侦测器(2)CO2 extinguisher;二氧化碳灭火器

中芯有那几类研磨液(slurry)系统?

答:(1)Oxide(SiO2)(2)Tungsten(W)鵭

设备机台总电源是几伏特? 答:208V OR 380V

欲从事生产/测试/维护时,如无法就近取得电源供给,可以无限制使用延长线吗? 答:不可以

如何选用电器器材?

答:使用电器器材需采用通过认证之正规品牌

机台开关可以任意分/合吗?

答:未经确认不可随意分/合任何机台开关,以免造成生产损失及人员伤害.欲从事生产/测试/维护时,如无法就近取得电源供给,也不能无限制使用延长线,对吗? 答:对

假设断路器启断容量为16安培导线线径2.5mm2,电源供应电压单相220伏特,若使用单相5000W电器设备会产生何种情况? 答:断路器跳闸

当供电局供电中断时,人员仍可安心待在FAB中吗?

答:当供电局供电中断时,本厂因有紧急发电机设备,配合各相关监视系统,仍然能保持FAB之Safety,所以人员仍可安心待在FAB中.MFG

什幺是WPH?

答:WPH(wafer per hour)机台每小时之芯片产出量

如何衡量 WPH ?

答:WPH 值愈大,表示其机台每小时之芯片产出量高,速度快

什幺是 Move?

答:芯片的制程步骤移动数量.什幺是 Stage Move?

答:一片芯片完成一个Stage之制程,称为一个Stage Move 什幺是Step Move?

答:一片芯片完成一个Step 之制程, 称为一个Step Move.Stage 和 step 的关系?

答:同一制程目的的step合起来称为一个stage;例如炉管制程长oxide的stage, 通常要经过清洗,进炉管,出炉管量测厚度3道step AMHS名词解释? 答:Automation Material Handling System;生产线大部份的lot是透过此种自动传输系统来运送

SMIF名词解释?

答:Standard Mechanic InterFace(确保芯片在操作过程中;不会曝露在无尘室的大环境中;所需的界面)所需使用的器具有FOUP/Loadport/Mini-environment等;为什幺SMIF可以节省厂务的成本? 答:只需将这些wafer run货过程中会停留的小区域控制在class 1 下即可,而其它大环境洁净度只要维持在class 100 或较低的等级);在此种界面下可简称为“包货包机台不包人”;对于维持洁净度的成本是较低的;操作人员穿的无尘衣可以较高透气性为优先考量,舒适性较佳

为什幺SMIF可以提高产品的良率?

答:因为无尘室中的微尘不易进入wafer的制程环境中

Non-SMIF名词解释

答:non-Standard mechanic InterFace;芯片在操作的过程中会裸露在无尘室的大环境中,所以整个无尘室洁净度要维持在class1的等级;所以厂务的成本较高且操作人员的无尘衣要以过滤性为优先考量,因此是较不舒适的SMIF FOUP名词解释?

答:符合SMIF标准之WAFER container,Front Opening Unit FOUP MES名词解释?

答:Manfaucture Execution System;即制造执行系统;该系统掌握生产有关的信息,简述几项重点如下(1)每一类产品的生产step内容/规格/限制(2)生产线上所有机台的可使用状况;如可run那些程序,实时的机台状态(可用/不可用)(3)每一产品批的基本资料与制造过程中的所有数据(在那些机台上run过/量测结果值/各step的时间点/谁处理过/过程有否工程问题批注…等(4)每一产品批现在与未来要执行的step等资料

EAP名词解释?

答:(1)Equpiment Automation Program;机台自动化程序;(2)一旦机台有了EAP,此系统即会依据LOT ID来和MES与机台做沟通反馈及检查, 完成机台进货生产与出货的动作;另外大部份量测机台亦可做到自动收集量测资料与反馈至后端计算机的自动化作业

EAP的好处

答:(1)减少人为误操作(2)改善生产作业的生产力(3)改善产品的良率

为什幺EAP可以减少人为操作的错误

答:(1)避免机台RUN错货(2)避免RUN错机台程序

为什幺EAP可以改善机台的生产力?

答:(1)機台可以自動Download程式不需人為操作(2)系統可以自動出入帳,減少人為作帳錯誤(3)系統可以自動收集資料減少人為輸入錯誤

为什幺EAP可以改善产品的良率?

答:(1)在Phot/etch/CMP区中,可自动微调制程参数(2)当机台alarm时,可以自动hold 住货(3)当lot内片数与MES系统内的片数帐不符合时,可自动hold 住货

GUI名词解释? 答:Graphical User Interface of MES;将MES中各项功能以图形界面的呈现方式使得user可以方便执行

EUI名词解释?功能是什麼? 答:EAP User Interface;机台自动化程序的使用者界面,透過EUI可以看到機台目前的狀態及貨在機台內的情形

SORTER 分片机的功能?

答:可对晶舟内的wafer(1)进行读刻号(2)可将wafer的定位点(notch/flat)调整到晶舟槽位(slot)的指定方位(3)依wafer号码重新排列在晶舟内相对应的槽位号码上(4)执行不同晶舟内wafer的合并(5)将晶舟内的wafer分批至多个晶舟内

OHS名词解释?

答:Over Head Shuttle of AMHS(在AMHS轨道上传送FOUP的小车)FAB内的主要生产区域有那些?(有7个)

答:黄光, 蚀刻, 离子植入, 化学气象沉积, 金属溅镀, 扩散, 化学机械研磨

Wafer Scrap规定?

答:Wafer由工程部人员判定机台、制程、制造问题,已无法或无必要再进行后续制程时,则于当站予以報廢缴库,Wafer Scrap时请填写“Wafer Scrap处理单” Wafer经由工程部人员判定机台、制程、制造问题已无法或无必要再进行后续制程时应采取何种措施?

答:SCRAP(报废,定义请参照Wafer Scrap规定)

TERMINATE规定?

答:工程试验产品已完成试验或已无法或无必要再进行后续制程时,则需终止试验产品此时就需将产品终止制程,称之为TERMINATE

WAFER经由客户通知不需再进行后续制程时应采取何种措施? 答:TERMINATE

FAB疏散演练规定一年需执行几次?

答:为确保FAB内所有工作人员了解并熟悉逃生路径及方式,MFG将不定期举行疏散演练。演习次数之要求为每班每半年一次。

何时应该填机台留言单及生产管理留言单?

答:机台留言单:机台有部分异常需暂时停止部分程序待澄清而要通知线上人员时生产留言单:有特殊规定需提醒线上人员注意时

填写完成的机台临时留言单应置放于那里?

答:使用机台临时留言单应将留言单置放于LOGSHEET或粘贴于机台上

机台临时留言单过期后应如何处理?

答:机台临时留言单過期后应由MFG On-line人員清除回收, 讯息若需长期保存则请改用生产管理留言单。

生产管理留言单的有效期限是多久? 答:三个月

何时该填写芯片留言单?

答:芯片有问题时或是芯片有特殊交待事项需让线上人员知道则可使用芯片留言单

芯片留言单的有效期限是多久? 答:三个月

填写完成的芯片留言单应置放于何处? 答:FOUP 上之套子内 芯片留言单需何人签名后才可生效? 答:MFG 的 Line Leader或Supervisor 何谓Hold Lot? 答:芯片需要停下来做实验或产品有问题需工程师判断时的短暂停止则需HOLD LOT;帐点上的状态为Hold,如此除非解決hold住的原因否则无法继续run货

PN(Production Note,制造通报)的目的?

答:(1)为公布FAB内生产管理的条例。(2)阐述不清楚和不完善的操作规则。

PN的范围?

答:(1)强调O.I.或TECN之规定, 未改变(2)更新制造通报内容(3)请生产线协助搜集数据(4)O.I.未规定或未限制, 且不改变RECIPE、SPEC及操作程序

何谓MONITOR?

答:对机台进行定期的检测或是随产品出机台时的检测称之为MONITOR,如测微粒子、厚度等

机台的MONITOR项目暂时变更时要填何种文件?

答:Tempory Engineering Change Notice(TECN,暂时工程变更)

暂时性的MONITOR频率增加时可用何种表格发布至线上? 答:Production Note(PN,制造通知)

新机台RELEASE但是OI尚未生效时应填具何种表格发布线上? 答:Tempory Engineering Change Notice(TECN,暂时工程变更)

控片的目的是什幺?(Control wafer)答:为了解机台未来的run货结果是否在规格内,必须使用控片去试run,并量测所得结果如厚度,平坦度,微粒数…控片使用一次就要进入回收流程。

挡片(Dummy wafer)的目的是什幺?

答:用途有2种:(1)暖机(2)补足机台内应摆芯片而未摆的空位置。挡片可重复使用到限定的时间﹝RUN数、厚度…﹞后,再送去回收.例如可以同时run150片wafer的炉管,若不足150片时必须以挡片补足,否则可能影响制程平坦度等…;High current 机台每次可同时run17片,若不足亦须以挡片补足挡片的Raw wafer(原物料wafer)有不同的阻值范围吗?

答:是的;阻值范围愈紧的,成本愈贵;例如8~12欧姆用于当产品的原物料,0~100的可能只能用当监控机台微尘的控片

機台狀態的作用?

答:為能清楚地評量機台效率,並告訴線上人員機台當時的狀況

機台狀態可分為那兩大類? 答:(1)UP(2)Down

机台状态定义为availabe可用的状态有那些?

答:RUN : 机台正常,正在使用中BKUP : 机台正常,帮其它厂RUN货IDLE : 机台正常,待料或缺人手TEST : 机台正常,借工程师做工程实验或调整RECIPETEST_CW : 机台正常,正在RUN 控檔片

机台状态定义为SCHEDULE NON-AVAILABLE的有那些? 答:MON_R : 机台正常,依据OI规定进行检查,如每shift/daily/monthlyMON_PM : 机台正常,机台定期维护后的检查PM : OI规定之例行维修时机及项目;如汽车5000KM保养HOLD_ENG : 机台正常,制程工程师澄清与确认产品异常原因,停止机台RUN LOT

在机台当机处理完后;交回制造部时应挂何种STATUS? 答:WAIT_MFG

在工程师借机检查机台调整RECIPE时应挂何种STATUS? 答:TEST

若是机台MONITOR异常工程师借机检查机台时应挂何种STATUS? 答:DOWN

线上发现机台异常时通知工程师时应挂何种STATUS? 答:WAIT_ENG

线上在要将机台交给工程师做PM前等待工程师的时间应挂何种STATUS? 答:WAIT_ENG

工程在将机台修复后交给制造部等制造部处的这段时间应挂何种STATUS? 答:WAIT_MFG

维修时应挂何种STATUS? 答:OFF

Muti-Chamber的机台有一个Chamber异常时制造部因为派工ISSUE无法交出Chamber该挂何种STATUS? 答:HOLD_MFG

制程工程师澄清或确认产品异常原因停止机台RUN货时应挂何种STATUS? 答:HOLD_ENG

因工程部ISSUE而成机台不能正常RUN货时应挂何种STATUS? 答:HOLD_ENG

MES或电脑等自动化系统相关问题造成死机要挂何种STATUS? 答:CIM

因为厂务水电气的问题而造成机台死机的问题要挂何种STATUS? 答:FAC

生產線因電力壓降、不穩定造成生產中斷時,機台狀態應掛為? 答:FAC

生產線因MES中斷或EAP連線中斷而造成生產停止,此時機台將態為何? 答:CIM

机台状态EQ status定义的真正用意何在?

答:(1)机台非常贵重,所以必须知道时间都用到何处了,最好是24小时都用来生产卖钱的产品;能清楚知道时间用到何处,就能进行改善(2)责任区分,各个状态都有不同的责任单位,如制造部/设备工程师/制程工程师…等

什幺是 T/R?

答:Turn Ratio, 芯片之移动速度;即1天内移动了几个制程stage 如何衡量 T/R ?

答:一片芯片在1天内完成一个Stage Move,其 T/R值为 1.T/R 值愈大,表示其移动速度愈快,意谓能愈快完成所有制程.什幺是 EAR ?

答:Engineer Abnormal Report(工程异常报告);通常发生系统性工程问题或大量的报废时,必须issue EAR.异常事件是否issue EAR 主要依据EAR OI 定义

EAR 之目的为何 ?

答:在于记录Wafer生产过程中异常现象的发生与解决对策,及探讨异常事件的真正原因进而建立有效的预防及防止再发措施,以确保生产线之生产品质能持续改善 什幺是 MO ?

答:MO(Mis-Operation)指未依工作准则之作业,而造成的生產損失.MO 有何之可能影响?

答:(1)产品制程重做(REWORK)。(2)产品报废。(3)客户要求退还产品,并要求赔偿.如何防止 MO 之产生 ? 答:依工作准则作业.什幺是 Waferout ?

答:完成所有制程后并可当成产品卖出之芯片.什幺是 clean room(洁净室)?

答:指空气中浮尘被隔离之操作空间

为何要有 clean room ?

答:避免空气中的微浮尘掉入产品,进而破坏产品的品质

clean room 有何等级 ?

答:class 1, calss 10, class 100, class 1000, class 10000,…等级愈高(class 1)则表示要求环境之洁净度就愈高.如医院开刀房之环境为 class 1000.FOUP回收清洗流程?

答:(1)线上各大区将所使用过的FOUP送回Wafer Start 清洗。(2)下线MA将回收待清洗的FOUP.底盘逐一拆下。(3)Cassette 须量测有无问题.(全新的也须量测)。(4)拆下的Door& 底盘须用IPA擦拭干净。(5)拆下FOUP 放置Cleaner清洗。

FOUP回收清洗时间?

答:回收清洗时间为每三个月一次.然而RF ID 在每次清洗完Issue时会同时将下一次清洗的时间Updata上。

FOUP各部门领用流程? 答:各部门的领用人至W/S领取物品时,须填写”FOUP & 塑料封套 领料记录表”填上领取的件数以及部门.名字.工号即可

FAB 制造通报(Production Notice)responsibility?

答:(1)制造部负责通报的管理与执行,Fab相关部门因工程与生产需要可制作制造通报经单位主管及制造部同意后进线执行。(2)制造通报涉及工程限制(Constrain)时需由工程部门负责工程师在MES上设定/修改完成后交由制造部审核确认及生效后,此通报才能进线执行。

FAB 制造通报(Production Notice)规定和禁令?

答:(1)通报被取消则此通报将视为无效.(2)通报内容新旧版本相冲突时以新版本为主,initiator 需告知前份作废PN ,以便MA立取出(3)通报最长期限为一个月.如果通报想延长期限,必须重新提出申请与签核,但以一次为限.(4)至截止期后通报将自动失效.FAB 制造通报(Production Notice)管理?

答:(1)如果此通报由制造部主管直接公布,签署过程即省略(2)通报内容应尽量言简意赅,避免繁琐冗长的陈述(3)制造部各区文件管理人负责将取消或无效之生产通告传回Key-in Center 以避免被错误使用(4)通报应盖上Key-in center 有效公章.WHAT’S “Bank In”? 答:各部门依据规定执行Hold 货或设Future Hold,并下Bank In之制式Comment后,货到站后由当区MA/LL负责于MES作帐,Wafer存入Stocker。

WHAT’S “Bank Out”?

答:各部门于Hold Comment下Bank Out之制式Comment并通知当区主管,于MFG确认Hold Comment无误后,于MES作帐,Wafer依Comment处理。

WHAT’S ’Bank Period“?

答:每批存入Bank的Lot自Bank In起,至Bank out止,累积之时间

Bank 适用时机?

答:(1)客户通知暂停流程/放行之Wafer。(2)新制程开发,于重点层次预留/放行之Wafer。(3)经WAT检查后,有问题之Wafer。(4)经QE检查后,有问题之Wafer。(5)FAB预先生产,且需暂存之Wafer。(6)特殊原因且经MFG P&Q Section Manager同意之Wafer Bank Quota?limit?

答:(1)各部门申请的Bank有一定数量限制,依制造部与各部门讨论而定(2)PC部门则由PC与客户协议,依PC相关规定处理

Bank period规定?

答:(1)PC要求之Bank最长可存放六个月;但若Customer有特殊需求,且经PC与MFG P&Q Manager同意者,则不在此限。(2)Lot Type 为L/T/LF/C/D/Z/V者,存放期限为60天。(3)Lot Type 为P/R/M/E1~9/B者,若非PC所要求,则存放期限为7天且申请时需PC 同意。

FAB內空的FOUP應存放在那些指定位置上?

答:(1)放在指定的暂存货架上。(2)放在机台旁的待Run Wip货架上(3)Stocker內

为什幺FOUP 放在STOCK 入口而长时间不进去?

答:Stocker 已满,或不能读取RF ID。

为什幺FOUP会被送至WaferStart出口?

答:RF ID上的FOUP Clean Time 过期,或格式不正确。

何谓Bullet lot?

答:(1)就是优先权最高的lot(priority 1);(2)lot本身带有特别重要的目的;如客户大量投产前的试run产品,工程部特别重要的实验货,与其它重要目的.Bullet Lot Management Rule?

答:(1)Priority 皆为1(2)面交下一站,不得用AMHS System传送。(3)需提前通知下一站备妥机台。(4)有工程问题工程部必须优先解决此种lot 列出所有的Lot Priority,并说明其代表的含义

答:Priority 等级从1~5 优先权以1最大5最小Priority 1 :bullet lot(字义”子弹般快的lot“;此lot拥有特殊目的如重要实验,客户大量投片前试run货等..)priority 2 : hot lot(依MFG/MPC 定义而定;通常为试run货pilot lot, 验证光罩设计的实验lot..等)priority 3 : delay lot(需要加把劲否则无法准时交给客户的lot)priority 4 : normal lot(按预定进度进行的lot)priority 5 : control wafer(生产线上的控片面)将Lot 分pirority 优先权的生产管理意义? 答:生产线上众多的lot(可能有2000以上),各有不同的交期与目的,透过操控每批LOT的优先权数字设定来让所有MA知道产品安排的优先级

什幺是RF ID?

答:用来记录FOUP ID與MES對應的芯片ID、刻號、机台的EAP亦是透过RF ID 来和MES沟通了解当站该RUN那一种程序

什幺是stocker?

答:生产线上用来存放FOUP容器的仓储(FOUP有装载芯片和光罩两种)

为什幺FOUP 放在stocker 入口而长时间不进去?

答:(1)Stocker已滿(2)不能讀取RF ID 什幺FOUP 会被自动传输系统HOLD?

答:有同名的Lot.可根据Hold Reason 找出两个同名Lot 的位置。

当GUI显示说Mapping的片数和MES上的片数不匹配时如何处理?

答:请检查MES上LOT的片数和机台内Mapping出来的片数,若两者不同,请找PE/EE解决;若两者相同,请CALL EAP ENGINEER。

Process完成后GUI显示实际RUN的片数和MES上的数量不匹配时如何处理?

答:请检查MES上LOT的片数和机台内Process完成的片数,若两者不同,请找PE/EE解决;若两者相同,请CALL EAP ENGINEER

GUI显示“FOUP due day is expired”或“FOUP clean due day is empty“时如何处理?

答:检查SmartRF ID中清洗FOUP的时间是否已经过期或时间是空值:若已过期,请换一个FOUP。若是空值,请先做IssueRF ID,何谓Bank Lot?

答:若芯片有客户要求需要长时间的停止时则需使用BANK LOT;即帐点上的状态为BANK;除非客户再次通知后解除,否则无法往下RUN货

何谓future hold?

答:MES 上的一个功能;对于未来制程中的某一歩骤,若需要停下来执行实验或检查..等目的时,可预先提早下future hold

生产线那些地方,可以感测FOUP上的RF ID并回传此FOUP的位置? 答:Stocker 与机台

HOLD住待处理的问题芯片;必须放在何处? 答:放置在指定之HOLD LOT货架上

工程师使用的芯片、控挡片;必须放在何处? 答:放置在工程师芯片专用货架上

待run产品 ,必须放在何处?

答:放入STOCKER内或放置在机台旁之货架(推车上)

Fab通常如何定义产品的复杂度?

答:必须经过几道photo layer,有几层poly, 有几层metal越多层越复杂

假设一种产品的制程共有20次photo layer,103个stage 的产品,从投片到出货的周期时间(cycle time)为22天;试问此LOT 的平均T/R是多少? 答:103 stage/22天=4.7

假设一种产品的制程共有20次photo layer,103个stage 的产品,从投片到出货的周期时间(cycle time)为22天;试问平均C/T per layer(每一photo layer的cycle time)是多少?

答:22天/20=1.1

Signal Tower 的功能为何?

答:用以提醒操作者,机台的实时状况,实时处理,增加机台的使用率 Signal Tower有那几种灯号颜色? 答:红/黄/绿三种颜色

Signal Tower的红灯亮(ON)起来时,代表何意义? 答:机台的主要功能当掉讯息出现时

Signal Tower的红灯闪烁(flash)时,代表何意义? 答:机台有任何Alarm的讯息出现时

Signal Tower的绿灯亮(ON)起来时,代表何意义? 答:机台是在run货状态;且所有进货端都摆满了货

Signal Tower的绿灯闪烁(flash)时,代表何意义?

答:机台是在run货状态;但有某一个以上的进货端有空档,用以提醒操作人员进货(MIR;move in request)

Signal Tower的黄灯闪烁(flash)时,代表何意义?

答:机台是在可使用状态;但有某一个以上的出货端有货run完,等着出货,用以提醒操作人员把货拿走(MOR;move Out request)光罩产品有哪两种材料组成?

答:(1)BLANK;玻璃主体;使得光容易透过(2)PELLICLE;一种高分子材料,用来保护玻璃上的电路图,避免particle影响

简单分类光罩可分为哪两种?

答:Binary光罩(一般光罩)& PSM光罩(相位移光罩);PSM光罩一般用于窄线宽或某几个最重要的PHOTO 层如Poly/Contact/Metal 1 photo layer 现行工厂内有哪两种PELLICLE(光罩的鉻膜)?

答:I-line(365光源用)DUV(248光源用)

I-line pellicle的光罩可否用于DUV的曝光机?

答:不能;因为DUV光源的能量Energy较强,会将pellicle 烧焦

DUV pellicle的光罩可否用于I-line的曝光机? 答:可以

光罩上PATTERN或玻璃面有刮伤可否修补? 答:不能

PELLICLE毁损能否修补?

答:若没伤到pellicle下的电路图形,可撕除pellicle,重新贴上新的PELLICLE 何谓cycle time,周期时间?

答:wafer 从投片wafer start 到WAT电性测试结束这段生產时间(如早上出门.搭车到达公司所需经过的时间)

cycle time 周期时间是由那些时间所构成答:(1)Process time 所有步骤的制程时间总和(2)waiting time : 所有步骤中所耗费的等待时间,如等人或等机台有空(3)hold time:所有步骤因为异常等原因,被扣留下来检查的时间

如何降低cycle time 周期时间?

答:cycle time是process time(机台run货时间),waiting time(等候时间), hold time(等待澄清问题时间);所以任何有助于降低三者的活动皆有帮助

如何减少process time 总和? 答:(1)由制程整合工程师检讨流程中是否有步骤可以去除不做;如一些检查站点或清洗站点等(2)由工程部制程工程师研究改善缩短每一步骤的制程时间(需经过实验测试是否影响品质,此项达成度较难)如何减少waiting time总和?

答:waiting time 是因为少人少机台所造成;所以有下列几种方法(1)加人买机台(此方法必须说服老板人和机台都已充份利用最大化了)(2)改善人的能力;如每一MA有多种操作技能,加强派货能力等(3)改善机台的能力;如增加WPH每小时的产出量,设备工程师将机台维持在高的UP time等(4)检讨减少生产线上的wafer 数目;检讨是否有太早下线的wafer或不必要的实验货,过多少片数的LOT(例如透过公运输或多人共乘减少)路上的车辆

如何减少hold time 总和? 答:hold time 来自制程不稳定与机台不稳定和实验测试所致;与发生hold time后的后续处理时间;所以必须针对这几项来着手

如何简单地评定一个代工厂的能力?

答:(1)良率维持在稳定的高点(2)周期时间cycle tiem愈短愈好(3)製造成本愈低愈好

工厂准时交货率(On-Time Delivery Order)

答:值越高表示工厂准时交货的能力越好,对于客户的服务也越佳

工厂产量完成率(On-Time Delivery for Volume)答:衡量工厂满足客户需求的能力是否良好,但并不评估是否按照预定日程交货,值越高越好

控/挡片使用率(Control/Dummy Usage)

答:平均每生产一片芯片所需使用的控/挡片数量由于控/挡片可以重复使用,因此当生产线系统越稳定,技术员操作越熟练,则控/挡片寿命也越长,生产成本也因而降低。

何谓OI?

答:Operation Instruction操作指导手册;每一型号的机台都有一份OI。OI含括制程参数、机台程序、机器简介、操作步骤与注意事项。其中操作步骤与注意事项是我们该熟记的部分

何谓Discipline

答:简单称之为『纪律』。泛指经由训练与思考,对群体的价值观产生认同而自我约束,使群体能在既定的规范内达成目标,与一般的盲从不同。

如何看制造部的纪律好不好?

答:制造部整体纪律的表现,可以由FAB执行6S够不够彻底和操作错误多寡作为衡量标准!

如何看整个FAB纪律好不好?

答:FAB内整体的纪律表现,可以反应在Yield上。

公司的企业文化为何? 答:重操守(integrity)诚实(honesty)团队合作(team work)注重效能(effectiveness)永续经营和不断改进(PDCA——plan/do/check/action)

那些是对外不可说的事?

答:(1)产品良率(Yield)(2)订单数量(3)客户名字(4)公司组织(5)主管手机号码(6)公司人数(7)其它厂商Vendor的资料(8)生产线的机台台数及种类。

那些是对外不可做的事? 答:(1)与Vendor聚餐,需经过部门主管的同意(2)收佣金,有价证券(3)收受礼物(礼物价值>15RMB)(4)接受招待旅游(5)出入不正当场所

Fab4的工作精神为何? 答:OwnershipHands OnTeamwork&CooperateCall for helpFollow up;Discipline 何谓Ownership? 答:主人翁精神;对待处理公事如己之私事般完善;把事情做好而不是把事情做完

何谓Hands On ?

答:亲力亲为;总裁Richard要求所有人尤其是主管必须对自己的业务了若指掌

何谓Call for help ?

答:请求支持;任务过程中遇困难,必须寻求同事或主管帮忙,否则会误了大事

为什幺沟通时必须使用”精准“的字眼?,避免使用”好象“, ”可能“;”大概“ ,”差不多“ 等模糊字眼

答:因为团队的其它人必须根据你的话来下决定与做判断,一旦用了模糊字眼,就必须一来一往才能澄清问题,泿费时间,所以不了解的事,就直接回答不清楚

为什幺开会描述问题时,必须先讲结果或别人必须配合的AR(action request),然后再讲问题发生的原因? 答:因为开会时间有限,参与的人太多(如全厂的生产晨会);先讲结果或AR可以让人快速抓住重点,如果时间不足原因可以简略说明即可

为什幺会议中要避免某些人”开小会“(小组自行讨论)的现象? 答:因为你不是主持人,开小会使得议程被打断,讨论主题发散,会议时间冗长,泿费大家时间

为什幺开会,上台进行演示文稿时,要力求大声?

答:因为所有人必须跟据你的说明下判断或决定,而且小声讲也显得自己没有自信

什幺是6S运动?

答:在自己的工作区内彻底执行整理/整顿/清扫/清洁/纪律/安全6项作业准则标准

整理与整顿的意含差异?

答:整理为保管要的东西,丢掉不要的东西,整顿为针对要的东西进行定位/标示/归位的动作

清扫与清洁的意含差异?

答:清除为清除脏乱污垢,清洁为保持整理/整顿/清扫的成果

6S运动推广重点区域?

答:办公区与洁净室是两大重点

为何无尘室中的任何地板开孔都必须以警示围篱区隔?

答:为了安全考量;任何小洞都可能造成人员拌倒,芯片摔破

为何无尘室中的中间走道高架地板上要铺设钢板? 答:为防止move-in 机台所用的拖板车刮伤地板

无尘室中间走道高架地板上的钢板,如何铺设?

答:先铺设塑料垫,再铺设钢板,每一片钢板的接鏠边必须以胶带贴合,避免人员或芯片推车拌倒

无尘室中有那些地板必须以颜色胶带做定位?

答:中间走道,各Bay信道,机台安装前的定位标示,逃生信道,货架定位,零附件暂存区定位

无尘室中的最大发尘源为何? 答:无尘室中走动的人

那些会发尘的物品不得带入无尘室? 答:通常属于天然类的物质都会发尘,如一般纸张,木箱,铅笔,等

无尘室中施工时必须参考的layout 图,如何带入无尘室? 答:请以无尘纸影印人后带入

可在无尘室中做地板切割作业?

答:不行,因为会产生微尘,所以请将地板携出进行作业

可在无尘室中做地板钻孔作业?

答:可以,但钻孔时必须同时以吸尘器清除这些铁屑(必须2人同时作业)货架不能挡住那些紧急设施?

答:冲身洗眼器,灭火器,机台的紧急按钮(EMO)手套上写字记事情,为什幺违反6S规定? 答:因为笔墨会到处沾粘;是微尘的来源

口罩必须如何戴才不违反6S规定?

答:完全盖住口鼻;且全程保持标准,不得拉下口罩,露出鼻子

制程或设备工程师review 完问题货,如果不放回定位,hold lot 货架或stocker内会有何影响? 答:制造部MA,将大海捞针式地搜索此LOT,因为只有在Stocker和机台上才能感测RFID,回传该LOT的位置

如何从自身执行公司的机密文件管制?

答:机密文件档案严禁任意放置在档案柜内或桌面上,必须放入有锁的抽里。

办公区域内不可吃饮料类以外的食物属于那一种要求? 答:办公区的工作纪律

办公区域内不得任意喧哗属于那一种要求? 答:办公区的工作纪律

办公区域内严禁打电子游戏属于那一种要求? 答:办公区的工作纪律

有独立办公室的同仁在离开办公室时,必须关上门属于那一种要求? 答:办公区的工作纪律

下班时请将桌面上所有文件清除属于那一种要求? 答:办公区的整理整顿

桌面下方物品堆放整齐,不可有杂物属于那一种要求? 答:办公区的整理整顿

何谓OCAP?

答:Out of Control Action Plan, 即产品制程结果量测值或机台监控monitor量测值,违反统计制程管制规则后的因应对策

制造部人员如何执行品质监控系统OCAP?

答:遇产品或机台monitor量测值OOC或OOS时,必须Follow 相对应的检查流程(有厚度/微尘/CD/Overlay…等OCAP 窗体);并通知工程师检查工程上的问题

工程部人员(制程或设备工程师)如何执行品质监控系统OCAP?

答:制造部MA通知必须Follow的OCAP;必须依流程判断LOT或机台有工程间题

什幺是OOS?

答:out of spec;制程结果超出允收规格

什幺是OOC?

答:out of control;制程结果在允收规格内但是违反统计制程管制规则;用以警讯机台或制程潜在可能的问题

发现Fab内地板有如水的不明液体要如何处理?

答:请先假设它可能为强酸强碱, 以酸碱试纸检测PH值后再以无尘布或吸酸棉吸收后丢入分类垃圾桶中

Fab内的灭火器为那一类? 答:CO2 类

为什幺FAB必须使用CO2类的灭火器? 答:因为CO2无干粉灭火器产尘的顾忌

如果不依垃圾分类原则来丢垃圾会有什幺后果?

答:可能造成无尘室的火灾危机,因为酸碱中和,产生热后可能引起火灾

无尘室的正下方我们称为什幺? 答:sub-fab

Sub-fab的功能主要为何?

答:生产机台所需的供酸供气等需求,主要由此处来供应上来

Fab内的空气和外界进行交换的比例为何? 答:约20%~25%

Fab生产区域内最在意静电(ESD)效应的区域为何? 答:PHOTO 黄光区(低能量静电放电导致光罩的破坏)PHOTO 区如何消除静电效应

答:(1)机台接地(2)使用导电或防静电的材质(3)使用静电消除装置

PHOTO 区的静电消除器安置在那些地方?

答:(1)天花板(2)机台scanner上方(3)Stocker内

静电效应主要造成那些破坏? 答:(1)使得wafer表面易吸附particle(2)堆积的静电荷一旦有放电作用,即会因产生的电流造成组件的破坏

何谓冲身洗眼器?/何处可以找到?

答:无尘室中各区域皆会有;是一可紧急使用冲淋身体与眼睛的地方

遇到什幺状况时,需要使用冲身洗眼器

答:当碰到酸碱或任何其它溶剂时,请立即进入冲淋间,以大量清水冲淋15分钟,然后赶急至医护室进行下一步处理

为何要配合海关进行资产盘点?(机台/芯片/原物料)

答:因为进口的大部份资产都有关税优惠;海关为了解企业确实将这些进口的材料加工成品后卖钱;而不是转手卖掉.这一盘点对公司来说是非常重要的

PHOTO区域若发生miss operaton;可进行rework将光阻去除后重新来过;所以不用太紧张对吗?

答:错!重做多次将影响良率

PEL-STEL(short term exposure limit)短时间(15分钟)时量平均容许浓度

答:劳工在短时间之内可以连续暴露,而不会遭受刺激,慢性或不可逆的组织损害,或在每天之暴露没有超过工作日时量平均容许浓度时不致因昏迷以致于会增加意外事故,损害自我救援能力,或实质地降低工作效率。

PEL-Ceiling最高容许浓度:

答:在工作期间之任何时间暴露,均不可以超过的浓度。

LEL & UEL(Lower(Upper)Explosion Limit)

答:.爆炸下限 & 爆炸上限;可燃性气体分子在空气中混合后的气体百分率,达爆炸范围时,可引起燃烧或爆炸,此爆炸范围的下限及上限称为LEL及UEL例如 SiH4 1.4%-96%

TLV(THRESHOLD LIMIT VALUE)国际标准阈限值、恕限量

答:空气中的物质浓度,在此情况下认为大多数人员每天重复暴露,不致有不良效应。在此浓度每天呼吸暴露8小时不致有健康危害。但因每人体质感受性差异很大,因此,有时即使低于TLV之浓度方可能导致某些人之不舒服、生病或使原有情况加剧。

PEL-TWA(time-weighted average)工作日时量平均容许浓度:各国家对同要物质可能有不同 TWA;例如AsH3 在 USA:20ppb Taiwan:50ppb

答:正常8小时一个工作天,40小时一工作周之时间加权的平均浓度下,大部份的劳工都重复一天又一天的曝露,而无不良的反应。

PHOTO

PHOTO 流程?

答:上光阻→曝光→顯影→顯影後檢查→CD量測→Overlay量測

何为光阻?其功能为何?其分为哪两种?

答:Photoresist(光阻).是一种感光的物质,其作用是将Pattern从光罩(Reticle)上传递到Wafer上的一种介质。其分为正光阻和负光阻。

何为正光阻?

答:正光阻,是光阻的一种,这种光阻的特性是将其曝光之后,感光部分的性质会改变,并在之后的显影过程中被曝光的部分被去除。

何为负光阻?

答:负光阻也是光阻的一种类型,将其曝光之后,感光部分的性质被改变,但是这种光阻的特性与正光阻的特性刚好相反,其感光部分在将来的显影过程中会被留下,而没有被感光的部分则被显影过程去除。

什幺是曝光?什幺是显影?

答:曝光就是通过光照射光阻,使其感光;显影就是将曝光完成后的图形处理,以将图形清晰的显现出来的过程。

何谓 Photo?

答:Photo=Photolithgraphy,光刻,将图形从光罩上成象到光阻上的过程。

Photo主要流程为何?

答:Photo的流程分为前处理,上光阻,Soft Bake, 曝光,PEB,显影,Hard Bake等。

何谓PHOTO区之前处理?

答:在Wafer上涂布光阻之前,需要先对Wafer表面进行一系列的处理工作,以使光阻能在后面的涂布过程中能够被更可靠的涂布。前处理主要包括Bake,HDMS等过程。其中通过Bake将Wafer表面吸收的水分去除,然后进行HDMS工作,以使Wafer表面更容易与光阻结合。

何谓上光阻? 答:上光阻是为了在Wafer表面得到厚度均匀的光阻薄膜。光阻通过喷嘴(Nozzle)被喷涂在高速旋转的Wafer表面,并在离心力的作用下被均匀的涂布在Wafer的表面。何谓Soft Bake?

答:上完光阻之后,要进行Soft Bake,其主要目的是通过Soft Bake将光阻中的溶剂蒸发,并控制光阻的敏感度和将来的线宽,同时也将光阻中的残余内应力释放。

何谓曝光?

答:曝光是将涂布在Wafer表面的光阻感光的过程,同时将光罩上的图形传递到Wafer上的过程。

何谓PEB(Post Exposure Bake)?

答:PEB是在曝光结束后对光阻进行控制精密的Bake的过程。其目的在于使被曝光的光阻进行充分的化学反应,以使被曝光的图形均匀化。

何谓显影? 答:显影类似于洗照片,是将曝光完成的Wafer进行成象的过程,通过这个过程,成象在光阻上的图形被显现出来。

何谓Hard Bake?

答:Hard Bake是通过烘烤使显影完成后残留在Wafer上的显影液蒸发,并且固化显影完成之后的光阻的图形的过程。

何为BARC?何为TARC?它们分别的作用是什幺?

答:BARC=Bottom Anti Reflective Coating, TARC=Top Anti Reflective Coating.BARC是被涂布在光阻下面的一层减少光的反射的物质,TARC则是被涂布在光阻上表面的一层减少光的反射的物质。他们的作用分别是减少曝光过程中光在光阻的上下表面的反射,以使曝光的大部分能量都被光阻吸收。

何谓 I-line?

答:曝光过程中用到的光,由Mercury Lamp(汞灯)产生,其波长为365nm,其波长较长,因此曝光完成后图形的分辨率较差,可应用在次重要的层次。

何谓 DUV?

答:曝光过程中用到的光,其波长为248nm,其波长较短,因此曝光完成后的图形分辨率较好,用于较为重要的制程中。

I-line与DUV主要不同处为何?

答:光源不同,波长不同,因此应用的场合也不同。I-Line主要用在较落后的制程(0.35微米以上)或者较先进制程(0.35微米以下)的Non-Critical layer。DUV则用在先进制程的Critical layer上。

何为Exposure Field?

答:曝光区域,一次曝光所能覆盖的区域

何谓 Stepper? 其功能为何?

答:一种曝光机,其曝光动作为Step by step形式,一次曝整個exposure field,一個一個曝過去

何谓 Scanner? 其功能为何?

答:一种曝光机,其曝光动作为Scanning and step形式, 在一個exposure field曝光時, 先Scan完整個field, Scan完後再移到下一個field.何为象差?

答:代表透镜成象的能力,越小越好.Scanner比Stepper优点为何?

答:Exposure Field大,象差较小

曝光最重要的两个参数是什幺? 答:Energy(曝光量), Focus(焦距)。如果能量和焦距调整的不好,就不能得到要求的分辨率和要求大小的图形,主要表现在图形的CD值超出要求的范围。因此要求在生产时要时刻维持最佳的能量和焦距,这两个参数对于不同的产品会有不同。

何为Reticle?

答:Reticle也称为Mask,翻译做光掩模板或者光罩,曝光过程中的原始图形的载体,通过曝光过程,这些图形的信息将被传递到芯片上。

何为Pellicle?

答:Pellicle是Reticle上为了防止灰塵(dust)或者微塵粒子(Particle)落在光罩的图形面上的一层保护膜。

何为OPC光罩?

答:OPC(Optical Proximity Correction)为了增加曝光图案的真实性,做了一些修正的光罩,例如,0.18微米以下的Poly, Metal layer就是OPC光罩。

何为PSM光罩?

答:PSM(Phase Shift Mask)不同于Cr mask, 利用相位干涉原理成象,目前大都应用在contact layer以及较小CD的Critical layer(如AA,POLY,METAL1)以增加图形的分辨率。

何為CR Mask?

答:傳統的鉻膜光罩,只是利用光訊0與1干涉成像,主要應用在較不Critical 的layer

光罩编号各位代码都代表什幺?

答:例如003700-156AA-1DA, 0037代表产品号,00代表Special code,156代表layer,A代表客户版本,后一个A代表SMIC版本,1代表FAB1,D代表DUV(如果是J,则代表I-line),A代表ASML机台(如果是C,则代表Canon机台)

光罩室同时不能超过多少人在其中?

答:2人,为了避免产生更多的Particle和静电而损坏光罩。

存取光罩的基本原则是什幺?

答:(1)光罩盒打开的情况下,不准进出Mask Room,最多只准保持2个人(2)戴上手套(3)轻拿轻放

如何避免静电破坏Mask?

答:光罩夹子上连一导线到金属桌面,可以将产生的静电导出。

光罩POD和FOUP能放在一起吗?它们之间至少应该保持多远距离?

答:不能放在一起,之间至少要有30公分的距离,防止搬动FOUP时碰撞光罩Pod而损坏光罩。

何谓 Track?

答:Photo制程中一系列步骤的组合,其包括:Wafer的前、后处理,Coating(上光阻),和Develop(显影)等过程。

In-line Track机台有几个Coater槽,几个Developer槽?

答:均为4个

机台上亮红灯的处理流程?

答:机台上红灯亮起的时候表明机台处于异常状态,此时已经不能RUN货,因此应该及时Call E.E进行处理。若EE现在无法立即解决,则将机台挂DOWN。

何谓 WEE? 其功能为何?

答:Wafer Edge Exposure。由于Wafer边缘的光阻通常会涂布的不均匀,因此一般不能得到较好的图形,而且有时还会因此造成光阻peeling而影响其它部分的图形,因此 将Wafer Edge的光阻曝光,进而在显影的时候将其去除,这样便可以消除影响。

何为PEB?其功能为何?

答:Post Exposure Bake,其功能在于可以得到质量较好的图形。(消除standing waves)

PHOTO POLYIMIDE所用的光阻是正光阻还是负光阻

答:目前正负光阻都有,SMIC FAB内用的为负光阻。

RUN货结束后如何判断是否有wafer被reject?

答:查看RUN之前lot里有多少Wafer,再看Run之后lot里的WAFER是否有少掉,如果有少,则进一步查看机台是否有Reject记录。

何谓 Overlay? 其功能为何?

答:迭对测量仪。由于集成电路是由很多层电路重迭组成的,因此必须保证每一层与前面或者后面的层的对准精度,如果对准精度超出要求范围内,则可能造成整个电路不能完成设计的工作。因此在每一层的制作的过程中,要对其与前层的对准精度进行测量,如果测量值超出要求,则必须采取相应措施调整process condition.何谓 ADI CD?

答:Critical Dimension,光罩图案中最小的线宽。曝光过后,它的图形也被复制在Wafer上,通常如果这些最小的线宽能够成功的成象,同时曝光的其它的图形也能够成功的成象。因此通常测量CD的值来确定process的条件是否合适。

何谓 CD-SEM? 其功能为何?

答:扫描电子显微镜。是一种测量用的仪器,通常可以用于测量CD以及观察图案。

PRS的制程目的为何?

答:PRS(Process Release Standard)通过选择不同的条件(能量和焦距)对Wafer曝光,以选择最佳的process condition。

何为ADI?ADI需检查的项目有哪些?

答:After Develop Inspection,曝光和显影完成之后,通过ADI机台对所产生的图形的定性检查,看其是否正常,其检查项目包括:Layer ID,Locking Corner,Vernier,Photo Macro Defect 何为OOC, OOS,OCAP?

答:OOC=out of control,OOS=Out of Spec,OCAP=out of control action plan 当需要追货的时候,是否需要将ETCH没有下机台的货追回来?

答:需要。因为通常是process出现了异常,而且影响到了一些货,因此为了减少损失,必须把还没有ETCH的货追回来,否则ETCH之后就无法挽回损失。

PHOTO ADI检查的SITE是每片几个点?

答:5点,Wafer中间一点,周围四点。

PHOTO OVERLAY检查的SITE是每片几个点?

答:20

PHOTO ADI检查的片数一般是哪几片?

答:#1,#6,#15,#24;统计随机的考量 何谓RTMS,其主要功能是什幺?

答:RTMS(Reticle Management System)光罩管理系统用于trace光罩的History,Status,Location,and Information以便于光罩管理

PHOTO区的主机台进行PM的周期?

答:一周一次

PHOTO区的控片主要有几种类型

答:(1)Particle :作為Particle monitor用的芯片,使用前測前需小於10顆(2)Chuck Particle :作為Scanner測試Chuck平坦度的專用芯片,其平坦度要求非常高(3)Focus :作為Scanner Daily monitor best 的wafer(4)CD :做為photo區daily monitor CD穩定度的wafer(5)PR thickness :做為光阻厚度測量的wafer(6)PDM :做為photo defect monitor的wafer

当TRACK刚显示光阻用完时,其实机台中还有光阻吗?

答:有少量光阻

当TRACK刚显示光阻用完时,其实机台中还有光阻吗?

答:有少量光阻

WAFER SORTER有读WAFER刻号的功能吗?

答:有

光刻部的主要机台是什幺? 它们的作用是什幺?

答:光刻部的主要机台是: TRACK(涂胶显影机), Sanner(扫描曝光机)为什幺说光刻技术最象日常生活中的照相技术

答:Track 把光刻胶涂附到芯片上就等同于底片,而曝光机就是一台最高级的照相机.光罩上的电路图形就是”人物“.通过对准,对焦,打开快门, 让一定量的光照过光罩, 其图像呈现在芯片的光刻胶上, 曝光后的芯片被送回Track 的显影槽, 被显影液浸泡, 曝光的光刻胶被洗掉, 图形就显现出来了.光刻技术的英文是什幺

答:Photo Lithography

常听说的.18 或点13 技术是指什幺?

答:它是指某个产品,它的最小”CD" 的大小为0.18um or 0.13um.越小集成度可以越高, 每个芯片上可做的芯片数量越多, 难度也越大.它是代表工艺水平的重要参数.从点18工艺到点13 工艺到点零9.难度在哪里?

答:难度在光刻部, 因为图形越来越小, 曝光机分辨率有限.曝光机的NA 是什幺?

答:NA是曝光机的透镜的数值孔径;是光罩对透镜张开的角度的正玹值.最大是1;先进的曝光机的NA 在0.5---0.85之间.曝光机分辨率是由哪些参数决定的? 答:分辨率=k1*Lamda/NA.Lamda是用于曝光的光波长;NA是曝光机的透镜的数值孔径;k1是标志工艺水准的参数, 通常在0.4--0.7之间.如何提高曝光机的分辨率呢?

答:减短曝光的光波长, 选择新的光源;把透镜做大,提高NA.现在的生产线上, 曝光机的光源有几种, 波长多少?

答:有三种: 高压汞灯光谱中的365nm 谱线, 我们也称其为I-line;KrF 激光器, 产生248 nm 的光;ArF 激光器, 产生193 nm 的光;下一代曝光机光源是什幺? 答:F2 激光器.波长157nm

我们可否一直把波长缩短,以提高分辨率? 困难在哪里?

答:不可以.困难在透镜材料.能透过157nm 的材料是CaF2, 其晶体很难生长.还未发现能透过更短波长的材料.为什幺光刻区采用黄光照明?

答:因为白光中包含365nm成份会使光阻曝光,所以采用黄光;就象洗像的暗房采用暗红光照明.什幺是SEM

答:扫描电子显微镜(Scan Electronic Microscope)光刻部常用的也称道CD SEM.用它来测量CD

如何做Overlay 测量呢?

答:芯片(Wafer)被送进Overlay 机台中.先确定Wafer的位置从而找到Overlay MARK.这个MARK 是一个方块 IN 方块的结构.大方块是前层, 小方块是当层;通过小方块是否在大方块中心来确定Overlay的好坏.生产线上最贵的机器是什幺

答:曝光机;5-15 百万美金/台

曝光机贵在哪里?

答:曝光机贵在它的光学成像系统(它的成像系统由15 到20 个直径在200 300MM 的透镜组成.波面相位差只有最好象机的5%.它有精密的定位系统(使用激光工作台)

激光工作台的定位精度有多高?

答:现用的曝光机的激光工作台定位的重复精度小于10nm 曝光机是如何保证Overlay<50nm

答:曝光机要保证每层的图形之间对准精度<50nm.它首先要有一个精准的激光工作台, 它把wafer移动到准确的位置.再就是成像系统,它带来的图像变形<35nm.在WAFER 上, 什幺叫一个Field?

答:光罩上图形成象在WAFER上, 最大只有26X33mm一块(这一块就叫一个Field),激光工作台把WAFER 移动一个Field的位置,再曝一次光,再移动再曝光。直到覆盖整片WAFER。所以,一片WAFER 上有约100左右Field.什幺叫一个Die?

答:一个Die也叫一个Chip;它是一个功能完整的芯片。一个Field可包含多个Die;

为什幺曝光机的绰号是“印钞机”

答:曝光机 很贵;一天的折旧有3万-9万人民币之多;所以必须充份利用它的产能,它一天可产出1600片WAFER。

Track和Scanner内主要使用什幺手段传递Wafer:

答:机器人手臂(robot), Scanner 的ROBOT 有真空(VACCUM)来吸住WAFER.TRACK的ROBOT 设计独特, 用边缘HOLD WAFER.可否用肉眼直接观察测量Scanner曝光光源输出的光

答:绝对禁止;强光对眼睛会有伤害

为什幺黄光区内只有Scanner应用Foundation(底座)答:Scanner曝光对稳定性有极高要求(减震)近代光刻技术分哪几个阶段?

答:从80’S 至今可分4阶段:它是由曝光光源波长划分的;高压水银灯的G-line(438nm), I-line(365nm);excimer laser KrF(248nm), ArF laser(193nm)I-line scanner 的工作范围是多少?

答:CD >0.35um 以上的图层(LAYER)KrF scanner 的工作范围是多少?

答:CD >0.13um 以上的图层(LAYER)ArF scanner 的工作范围是多少?

答:CD >0.08um 以上的图层(LAYER)什幺是DUV SCANNER

答:DUV SCANNER 是 指所用光源为Deep Ultra Voliet, 超紫外线.即现用的248nm,193nm Scanner

Scanner在曝光中可以达到精确度宏观理解:

答:Scanner 是一个集机,光,电为一体的高精密机器;为控制iverlay<40nm,在曝光过程中,光罩和Wafer的运动要保持很高的同步性.在250nm/秒的扫描曝光时,两者同步位置<10nm.相当于两架时速1000公里/小时的波音747飞机前后飞行,相距小于10微米

光罩的结构如何?

答:光罩是一块石英玻璃,它的一面镀有一层铬膜(不透光).在制造光罩时,用电子束或激光在铬膜上写上电路图形(把部分铬膜刻掉,透光).在距铬膜5mm 的地方覆盖一极薄的透明膜(叫pellicle),保护铬膜不受外界污染.在超净室(cleanroom)为什幺不能携带普通纸

答:普通纸张是由大量短纤维压制而成,磨擦或撕割都会产生大量微小尘埃(particle).进cleanroom 要带专用的Cleanroom Paper.如何做CD 测量呢? 答:芯片(Wafer)被送进CD SEM 中.电子束扫过光阻图形(Pattern).有光阻的地方和无光阻的地方产生的二次电子数量不同;处理此信号可的图像.对图像进行测量得CD.什幺是DOF

答:DOF 也叫Depth Of Focus, 与照相中所说的景深相似.光罩上图形会在透镜的另一侧的某个平面成像, 我们称之为像平面(Image Plan), 只有将像平面与光阻平面重合(In Focus)才能印出清晰图形.当离开一段距离后, 图像模糊.这一可清晰成像的距离叫DOF

曝光显影后产生的光阻图形(Pattern)的作用是什幺?

答:曝光显影后产生的光阻图形有两个作用:一是作刻蚀的模板,未盖有光阻的地方与刻蚀气体反应,被吃掉.去除光阻后,就会有电路图形留在芯片上.另一作用是充当例子注入的模板.

光阻种类有多少?

答:光阻种类有很多.可根据它所适用的曝光波长分为I-line光阻,KrF光阻和ArF光阻

光阻层的厚度大约为多少?

答:光阻层的厚度与光阻种类有关.I-line光阻最厚,0.7um to 3um.KrF光阻0.4-0.9um.ArF光阻0.2-0.5um.哪些因素影响光阻厚度?

答:光阻厚度与芯片(WAFER)的旋转速度有关,越快越薄,与光阻粘稠度有关.

哪些因素影响光阻厚度的均匀度?

答:光阻厚度均匀度与芯片(WAFER)的旋转加速度有关,越快越均匀,与旋转加减速的时间点有关.

当显影液或光阻不慎溅入眼睛中如何处理

答:大量清水冲洗眼睛,并查阅显影液的CSDS(Chemical Safety Data Sheet),把它提供给医生,以协助治疗

第三篇:说课稿-半导体器件

尊敬的各位领导、各位老师下午好,我今天说课的题目是:平衡PN结

一、分析教材

首先我对本节的教材内容进行分析:

《半导体器件物理》是应用物理学专业的一门重要专业方向课程。通过本课程的学习,使学生能够结合各种半导体的物理效应掌握常用和特殊半导体器件的工作原理,从物理角度深入了解各种半导体器件的基本规律。PN结是构成各类半导体器件的基础,如双极型晶体管、结型场效应晶体管、可控硅等,都是由PN结构成的。PN结的性质集中反映了半导体导电性能的特点,如存在两种载流子、载流子有漂移运动、扩散运动、产生与复合三种基本运动形式等。获得在本课程领域内分析和处理一些最基本问题的初步能力,为进一步深入学习和独立解决实际工作中的有关问题奠定一定的基础。

根据以上分析,结合本节教学要求,再联系学生实际,我确立了以下教学目标:

1、知识目标

(1)了解PN结的结构、制备方法;

(2)掌握平衡PN结的空间电荷区和能带图;

(3)掌握平衡PN结的载流子浓度分布。

2、能力目标

(1)通过典型图例,指导学生进行观察和认识PN结,培养学生的观察现象、分析问题以及理论联系实际的能力;

(2)指导学生自己分析,借助教材和图例,培养学生的动手能力以及通过实验研究问题的习惯;

3、情感目标

(1)培养学生学习半导体器件物理的兴趣,进而激发学生对本专业热爱的激情;

(2)培养学生科学严谨的学习态度。

考虑到一方面学生的文化基础比较薄弱,综合解决问题的能力有待提高,另一方面,对于高职类学校的学生而言,要求有较强的动手能力,我把教学的重点和难点设置如下:

1、教学重点

平衡p–n结空间电荷区的形成;平衡p–n结的能带图

2、教学难点

平衡p–n结中载流子的分布

二、说教法

兴趣是推动学生求知欲的强大动力,在教学中把握学生好奇心的特点至关重要。另一方面,在教学课堂中,不仅要求传授书本的理论知识,更要注重培养学生的思维判断能力、依据理论解决实际问题的能力以及自学探索的能力。据此,我准备以演示法和引导式教学为主,遵循学生为学生为主体,教师为主导的原则,通过讲授理论知识,使学生获得必要的感性认识,让疑问激起他们的学习研究兴趣,然后再引导学生掌握必要的基础知识,最后在开放的课堂上提供学生进一步研究的机会,满足他们的好奇心,开发他们的创新潜力。

三、说学法

学生是教学活动的主体,教学活动中要注意学生学法的指导,使学生从“学会”转化为“会学”。根据教学内容,本节采用观察、分析的学习方法,在做好演示图例的同时,引导学生合作讨论,进而获取知识。

另外,在教学过程中,我还会鼓励学生运用探究性的学习方法,培养他们发现、探究、解决问题的能力。

四、说教学过程

为了完成教学目标,解决教学重点,突破教学难点,课堂教学我准备按以下几个环节展开:

1、新课导入

通过半导体物理基础的学习,分析了P型和N型半导体中的载流子浓度分布和运动情况,如果将P型和N型半导体结合在一起,在二者的交界处就形成了PN结。首先学习PN结。引出问题:什么是PN结?

设计意图:通过问题的提出,引导学生形成对所学事物的轮廓,丰富他们的感性认识,吸引学生的注意力和好奇心。

2、讲解新课

通过讲解在本征半导体中参入不同杂质,引出半导体的一个特殊结构:PN结。

(1)讲解PN结

用图示演示PN结的基本结构,两种不同类型的半导体:P型半导体和N型半导体。为了加深学生的理解,可以采用情景教学的方式,让学生在轻松有趣的互动游戏中掌握枯燥的概念。

(2)平衡PN结的空间电荷区和能带图

通过图例展示,教师讲解平衡PN结空间电荷区的形成和能带图,然后让学生复述,倾听学生自己的理解,在此基础上进一步分析,讲解各名词的概念:扩散、漂移、空间电荷区、自建电场、势垒、势垒区。

(3)平衡PN结的接触电势差

由此,也进一步引出N区和P区之间存在电势差,称为PN结的接触电势差。给出n区电子浓度、p区空穴浓度的公式,引导学生推导接触电势差。

(4)平衡PN结的载流子浓度分布

通过图示回顾上课过程中提到的空间电荷区、自建电场、扩散、漂移、载流子的耗尽等概念,总结平衡PN结的载流子浓度分布并给出示意图。

3、归纳总结,布置作业

设计问题,由学生回答问题,通过设问回答补充的方式小结,学生自主回答三个问题,教师关注全体学生对本节课知识的掌握程度,学生是否愿意表达自己的观点。

(1)什么是PN结?

(2)PN结的制备方法有哪些?

(3)平衡PN结的空间电荷区是如何形成的?

(4)平衡PN结的能带图中费米能级的作用?

(5)平衡PN结接触电势差的推导过程?

设计意图:通过提问方式引导学生进行小结,养成学习——总结——再学习的良好习惯,发挥自我评价作用,同时可培养学生的语言表达能力。作业分层要求,做到面向全体学生,给基础好的学生充分的空间,满足他们的求知欲。

五、板书设计

采用三栏式

以上,我从教材、教法、学法、教学过程和板书设计五个方面对本课进行了说明,我的说课到此结束,谢谢各位评委老师。

第四篇:半导体二极管说课稿

《半导体二极管》说课稿

宁远县职业中专学校 何绍斌

一、教材分析

本节教材选自高等教育出版社《电子技术基础》中第一章第一节 “半导体二极管”。本节内容是介绍和验证二极管的单向导电特性及伏安特性。这些知识不仅是进一步学习电子技术的基础,而且在生产和生活中也起着举足轻重的作用。

二、学情分析

本课程面向的是刚进入职业中专的初中毕业生,基础知识比较薄弱,理论学习兴趣不高,不过,学生的动手能力比较强,喜欢实际操作。在学习本课之前学生已经掌握了“半导体”的一些基本知识如:载流子、PN结及PN结的单向导电特性等。

三、教学目标

针对学生的心理特点和认知水平,结合教材,本着面向全体、使学生全面主动发展的原则,确定本节课的教学目标如下:

知识目标:1.了解二极管的结构、符号、主要参数 2.掌握二极管的单向导电特性及伏安特性 能力目标:1.培养学生动手实验的能力

2.培养学生的分析能力、归纳总结能力

情感目标:1.培养学生的协作意识和创新意识 2.增强学生学习专业知识的信心

四、重点与难点

通过对教材分析和实际应用,确定教学重点为“二极管单向导电性”;难点为“二极管的伏安特性”。

五、教法、学法分析

在本节课中,我努力做到教法与学法的辩证统一,既重视教法的使用,也加强学法的指导。

教法:依据本节教材的内容,结合学生的特点,考虑到技校学生已经具有一定的思维能力,主要突出能力目标的实现。采取情境教学、实验教学、探究教学、多媒体演示等教学方法,把主动权交给学生,使学生主动参与到课堂中来。

学法:引导学生采用自主学习法、动手实验、分析讨论、归纳总结等学习方法,培养学生的动口、动手、动脑和团结协作的能力,发挥学生的主观能动性,激发学生的学习兴趣,活跃课堂气氛。

六、教学过程

我把这节课分为四个阶段:引入新知、探究新知、总结新知、拓展新知。

(一)引入新知

在本节课中,我利用电子元件在日常生活中的应用(电器指示灯、红绿灯、玩具彩灯等)引出本课的内容——半导体二极管。这样会让学生感觉到二极管在生活中的重要性,激发学生探究新知的欲望。

(二)探究新知

1.激发兴趣,自主学习

展示玩具、二极管的实物图,介绍二极管结构、符号。让学生自己预习,回答以下问题:

半导体二极管有哪些性质?

这个过程是学生自主学习的过程,让学生初步体验到成功的乐趣,既增强了自信又延续了学习兴趣,使学生逐渐养成自主学习的好习惯。

2.提出问题,设计实验

通过预习学生已经知道二极管具有单向导电特性。但如何验证,成为问题的关键。由教师给出实验器材,指导学生设计“验证二极管单向导电特性”的电路图,学生会很快的设计出来。

这个过程注重学生的主动参与、教师的积极引导,分层次、分阶段逐步培养学生分析问题、设计实验的能力。

3.动手实验,分析总结

1)根据电路图连接实物图。

2)实验验证:正向导通,反向截止(同时用多媒体演示实验现象)。

这个过程学生不是被动的接受,而是通过自己动手主动去验证去学习新知,体验深刻,激发兴趣,突出本节重点。

4.师生合作,深入探究 此时有的学生会问:“为什么二极管具有单向导电特性”?在肯定学生的基础上解答:“由二极管内部结构决定的;虽然看不见二极管内部结构,但我们可以对反应二极管单向导电特性的物理量进行定量研究。”即本节核心问题:“二极管的伏安特性?”,也是本节课的难点。

教师引导学生在原有实验基础上设计新电路,一起研究二极管电压和电流的关系。教师和学生一起动手做“研究二极管电压电流关系”的演示实验,边做边指导学生读数并让学生记录实验数据;根据数据一起做练习:绘制出“二极管伏安特性曲线”;利用多媒体课件具体分析“二极管伏安特性曲线”的特点,并介绍二极管的主要参数。

这个过程重在强化“实验―记录数据―分析数据―总结规律”的研究方法,并改变以往演示实验的做法,充分发挥学生的主体地位。教师引导学生动脑设计、亲自操作、相互交流、归纳总结,使学生既学习知识又锻炼能力,爱学更会学。5.突破难点,得出结论

用多媒体动画再次深化本节核心问题。综上所述:二极管的伏安特性即电压和电流的关系。

归纳总结得出的结论,用多媒体形象、直观的进行深化,符合思维的连贯和学生认识规律,使学生对知识的印象更加深刻。达到突破本节难点的目的。

(三)总结新知

1.半导体二极管的概念、符号。2.半导体二极管的单向导电性。3.半导体二极管的伏安特性。

使同学们巩固本节课所学新知。布置本节作业,保证下节课教学内容的顺利进行。

(四)拓展新知

至此,教学内容已讲授完毕,根据学生的情况,继续引导同学们思考:什么是LED”,让学生课下利用网络查找。

一方面为下一节课学习作好铺垫,一方面也有利于学生形成完整的知识结构。

七、板书设计

半导体二极管 一、二极管的基本知识: 1.二极管的概念

2.二极管的结构、符号 二、二极管的伏安特性曲线: 1.经过原点 2.正向特性

3.反向特性

第五篇:半导体实习报告

实习报告

1.实习目的:

根据学院对专科生要求,我在深圳意法半导体制造(深圳)有限公司,为期十个月的实习。毕业实习的目的是:接触实际,了解社会,增强社会主义事业心,责任感,巩固所学理论,获取专业实际知识,培养初步的工作能力,具体如下:

培养从事工作的专业技能,了解日常事物和工作流程,学会工作的方法,理解所学专业的意义。

培养艰苦奋斗的精神和社会注意责任感,形成热爱专业,热爱劳动的良好品质。

预演和准备就业,找出自身状况和社会实际所需的差距,并在以后的实践期间及时补充和改正,为求职和正式工作做好从分的知识和能力储备。

2.实习时间:

我于2012年7月初到2013年4月底,为期十个月的实践学习

3.实习单位:

3-1.单位地址和规模:

实习单位位于深圳市龙岗宝龙社区高科大道12号,意法半导体制造(深圳)有限公司,公司是一个子公司,现拥有在职员工50001001040338 柴荣 1

于人,多条生产线,拥有产能70亿只/年的生产能力。

3-2.实习期间在单位主要职务:

在实习期间,协助工程师处理一些质量和工艺流程方面的问题,以及提高产品的成品率。

3-2.实习单位的历史和发展:

意法半导体制造(深圳)有限公司于2005年9月在深圳市正式注册成立,由意法半导体公司全资公司意法半导体(中国)投资有限公司出资成立,公司的成立是为了深圳市龙岗区开发建设集成电路封装测试项目,字公司成立以来到现在,已经拥有5000余名员工,8条生产线,年产能70亿只/年,涉及十几种产品,主要是封装测试稳压管。

3-3.实习单位.部门.职位:

我在意法半导体制造(深圳)有限公司,TO220部门从事工程师助理,主要协助工程师解决产品质量问题和工艺流程。提高产品的成品率以及其他方面的一些实验和跟踪一些项目。

4.实习过程:

2012年7月2日,我正式在深圳意法半导体制造(深圳)有限公司,开始了为期十个月的实习之旅,刚来的时候,有7天的培训,初步了解公司的运作方式,重点强调了安全方面的培训,早晨8:30分开始上班,到晚上5:30分下班,一个星期工作40小时,海港开1001040338 柴荣

始培训玩的时候,我被分到了M/D工位做工程师助理,接触和了解了很多工艺流程方面的知识,以及一定的管理方法。刚开始三个星期里面,感觉无所事事,整天在车间里逛,看,然后就是看一些资料,知道第三个星期结束擦爱初步就具备了工作的能力,才被具体安排工作。M/D工位是半导体封装的工位,有着速度快、精度搞的特点,1800K/天的产能,刚开始我就负责IPC,监督质量以及前工位送做实验的一些产品,平时闲的时候就学学怎么具体操作机器,学习维修机器,机器保养维护。早M/D主要是FICO、ACCY机器,都是外国进口的先进机器,运行速度非常快,而且模具精度非常高。平时拆模具的时候我也帮帮忙,从中可以学到关于模具的知识,进距离的安装高进度模具。感觉非常精密,合模后装上水,一个星期水都不会漏出来。可能在学校薛计算机,英语水平也过的去,所以工作起来相对比较轻松,很快就适应了一些繁杂的工作。

在M/D工位的时候,自己经常做一些总结,学会了一些基本的学习技巧和沟通能力。以及一定的管理能力,真真切切的体会到了,从学校到社会明显的过度,心态也发生了明显的转变。由刚开始的担心、还怕、对未来的恐惧,现在都会以坦然的心态面对。虽然工作的时候有一定的压力,但对未来的动力更加充足。这段时间我在时间中了解社会,让我学会了一些在课堂上学不到的知识和能力,让我认识了理论和实际的差距并不是在课堂上就能弥补的,需要在实践中不断积累,不断学习,一次次从错误中吸取教训在不断改进,更好的把理论和实践结合起来,咋这结合的过程就是我们学以致用的过程,1001040338 柴荣

并且也扩展了自己的视野,充实自己的时候。

实习期间,我利用了难得的机会,努力工作,严格要求自己,在遇到困难和不懂的问题的时候,就虚心向师傅请教,向有经验的老员工请教,知道弄懂为止。搞清楚原理,弄清楚方法然后在总结经验,让自己能快速的融入到工作中去,更好的快速的完成任务。同时我也利用其他空余的时间学习参考一些相关的书籍,收索一些理论资料啦完善自己对工程管理的一些知识,能够更深一步的了解理论,将实际和理论向结合起来,达到灵活运用的境界。这些也让我收获颇丰,让我对工作更加得心应手,在实习期间该厂正好是订单较多的时候,也是历年订单最多的一年,生产进行的如火如荼。我在跟随工程部的时候,才发现这个部门对产品质量需求及其严格,对风险评估也异常苛刻。比如一盒产品有3200粒,但是如果其中发现几粒贱焊锡或die裂的情况,就会讲一整合3200粒产品全部废弃掉。这也就反应了公司对产品质量要求及其严格,质量是企业的第一信誉,是产品的形象。公司严格对产品质量把关,就等于掌握了企业的未来,正怀着这种信念我对产品质量要求也及其严格,对于不合格的产品和有风险的产品一律废弃掉,当做废品处理掉,对有风险的产品进行评估,合格的才会被继续生产,不合格的就会被当做废弃无处理掉。

经过一个办月在M/D工位的摸索和实践,对严谨的工作态度,以及自身能力的提高,上级对我的工作非常认可,于是就让我产于更具挑战性的项目,提升VR产品系列的成品率。换句话说就是找出各个工位不合理照成VR系列产品成品率于98%的原因,在反馈到各个1001040338 柴荣

部门主管和工程师,采取相应的方法来解决这些不合理的地方。刚开始接到任务的时候,我有点兴奋和紧张,但是更多的是担心,自己的能力能否完成这个艰巨的任务,于是变成立了一个团队,包括各个工位的主管,工程师、PM、PM hand,一起共同努力,终于在三个月后,成功的将VR系列产品的成品率提升到99%左右。这一历史性的突破不仅给公司带来了巨大的效益,也对自己的能力得到肯定,对自己带来了很大的自信心和满足感。同时上级对我的努力作出了肯定,于是在11月份左右,上级领导决定让我继续跟踪P-MOS系列产品,提升VP-MOS系列产品的成品率,于是我就开始了新一轮的艰苦卓绝的奋斗。到4月份虽然没有完成语气的目标,但是P-MOS系列产品的成品率相比以前提升了一大截,这在以前是没有过的。继续努力,我仔细想想原因,其实操作员的压力很大,一个人照看十几台机器,有时候根本忙不过来,质量方面的检查也就相对放松了,同时长时间的劳动,QC工位质量检查也相对放松了。因为只来呢个检查的不到保证,缺陷也就会继续存在下去,成品率也就得不到提升。同时公司人流量也非常大,老员工离岗,新员工上岗,很多新员工对工艺流程不熟悉,也照成了很多产品的缺陷,最主要的还是机器老化很严重,有些机器都20 多年了,机器精度和能量输出相对不是很稳定,这是对产品成品率威胁最大的因素之一,同时这也是极难控制大的,所以提高成品率就要相对技术员加强培训,让每一个人了解工艺流程并且提升自身的能力,要求每个人都必须按照工艺流程操作,对有风险的lot必须hond住,对出料前必须做检查,并且在系统中写清楚缺陷数量,做1001040338 柴荣

好系统。之后待确认无误之后才能到下个工位生产。

具体提升成品率的一些措施:

对于VR系列产品,因为是铜线的,铜的分子质量比较大,所以可以用X-RAY射线透视的方法观看具体缺陷,判断出是人为的还是机器故障导致,也可以咋示波器上观看不同参数所反映出来的波形,判断是那种缺陷,以反馈到该工位及时改进和调整机器状态。如果是人为照成的,则加强对人的培训,对于新员工,必须严格要求按照工艺流程做,并且制定了一系列的硬性标准。对已P-MOS产品系列,因为是铝线的,射线穿透die,不可以看到具体缺陷,只能每天送一些样品去实验室做Chemical De-capping,bond Cratering check的实验,才能搞清楚知道是那个环节出了问题,并且也可以更具随工单上的信息判断缺陷产生工位后,得出当班是谁,以及那一台机器产生的缺陷,还可以在系统中清楚的查看是哪一个lot到哪一个工位的时间,那台机器那个人,都可以清晰的查到。并且还可以在系统中查到产量,以及用什么代码缺陷有多少粒。便于统计和以前做比较,直观的得出近期成品率是提高了还是下降了,以便对近期采取的一些措施进行改进和执行!

对于公司不合理的地方也有很多,虽然从公司的角度来讲是方便管理,但是阙损害了一部人人的利益,还有公司对于操作员的压力非常大,一天工作12个小时,采取换修的工作方式,劳动量大,并且工资相对深圳平均最低生活标准搞一点点,并且每天还要为产量,质1001040338 柴荣 6

量承担相当大的压力,虽然有组织活动,但是都很少,灵活性比较差,生产线上的噪音也很大,长期在里面对身体会照成一定的危害,伙食虽然每次都在加钱,但是感觉没多大改变饭菜也没有什么营养,而且还有不可思议的“菠萝烧肉”,简直是绝品,虽然很多人投诉,单效果不大,虽然很多时候对身边的一些事物不是很满意,但是总体上还是好的。

五.实习收获:

为期十个月的实习即将结束,实习期间在实践中学到了很多平时学不到的东西,接触了从没有过的机器,认识了理论和实践的差距,这会更好的帮助我们讲理论和实践相结合起来,培养了自己独立思考的能力和动手能力,培养了专业技能,懂得了日常事务和一些工作流程。学会了用各种手段解决实际纯在的问题,斌且掌握了一门生存技能,发现问题后能独立判断是什么原因导致的,并且快速的制定一些改进措施,能够时刻保持清晰的头脑,清楚的理智的判断,能从多个方面做切入点,更深层次的分析问题。这段实践的学习不仅提高了自己的计算机水平,还提高了英语水平,学会了一定的管理经验懂得操作十几种不同的机器,可以熟练的运用理论知识,更重要的是感觉到人脉的强大,在实习期间和不同层次的人接触后,不管什么学历什么职位,甚至是不同工厂的人,他们教会了我人际关系的强大,这将会是我以后发展创业的重要一个环节,不可缺少的环节。

1001040338 柴荣 7

六.实习总结:

从七月份实习到现在有将近十个月的时间了,离开了亲爱的母校,踏上了实习的征程。回首实习期间的得于失,感慨良多,无论是在实践上还是在生活上思想上都有了很大的变化,学到了一些新的人生道理,如何在残酷的社会中生存下去,为了理想而努力奋斗,自实习后有了一定的经济基础后,我对心中的梦想越来越近,对生活的精彩而感到精妙。在半导体实习,无论是管理还是普通员工都发扬了艰苦奋斗的精神,才能从中学会知识,增加见世面,见世面广了,对分析问题的能力也就会大大提高,虽然一路上磕磕碰碰的走过来,从中各种失误中总结经验,在各种打击中树立人生观和价值观。在打击红成长起来无论是生活上还是工作上,都具备了独挡一面的能力,我要感谢我的母校和各任课老师能够教会我很多专业技能,和做人的道理,给予我在社会中生存的手段,给了我鼓励和自信,感觉在实习期间给予我帮助的人,他们教会我要拥有一颗坚强的心,这样才能在社会中从分发挥自己的专业技能,回报母校,回报祖国。

实习人:XX

1001040338 柴荣

下载半导体论文word格式文档
下载半导体论文.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    半导体三极管教案

    半导体三极管 学科:电子技术基础 班级:11秋电子技术应用9班 教师:胡明锋 授课类型:讲授 课时:一课时 一、教学目标: 知识目标 识记半导体三极管的定义、掌握三极管的结构、分类和......

    初三物理教案 半导体(精选)

    初三物理教案 半导体 初三物理教案 半导体 教学目标 知识目标 了解半导体以及半导体在现代科学技术中的应用. 能力目标 通过半导体知识的学习,扩展知识面. 情感目标......

    半导体材料测试技术

    常规材料测试技术 一、适用客户: 半导体,建筑业,轻金属业,新材料,包装业,模具业,科研机构,高校,电镀,化工,能源,生物制药,光电子,显示器。 二、金相实验室 • Leica DM/RM 光学显微镜 主......

    【电子、电器、半导体】岗位职责

    电子/电器/半导体 ·电子工程师/技术员·电子技术研发工程师·电子/电器维修工程师/技师·电子软件开发·电子元器件工程师·电路工程师/技术员(模拟/数字)·模拟电路设计/应......

    半导体工艺实习心得体会

    半导体工艺实习心得体会 12023110 王宁 这是我们第一次参加工艺实习,这让我不免有些好奇和激动。 记得大一新生研讨课的时候参观过我们的工艺实习间,这次又来,故并没有感到这个......

    半导体的基本知识教案

    电工电子技术教案 第一章 半导体二极管 §1-1 教学目的: 1、了解半导体导电性及特点。 2、初步掌握PN结的基本特性及非线性的实质。 3、熟悉二极管外形和电路符号,伏安特性和......

    半导体工艺教案第八章

    第九章 掺杂 【教学内容及教学过程】 8.1 引言 8.1.1 刻蚀的概念 刻蚀(Etching)是把进行光刻前所淀积的薄膜(厚度约在数百到数十纳米)中没有被光刻胶覆盖和保护的部分,用化学或物......

    半导体制造技术总结

    第一章 2、列出20世纪上半叶对半导体产业发展做出贡献的4种不同产业。P2 答:真空管电子学、无线电通信、机械制表机及固体物理。 答:高速、耐久性、功率控制能力。缺陷:功耗高......