课程设计项目实践

时间:2019-05-12 15:58:08下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《课程设计项目实践》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《课程设计项目实践》。

第一篇:课程设计项目实践

项目实践、设计与产品制作

本专题实践是专为电气工程专业的高年级学生,进入专业学习前所进行的专题培训,目的是为了更好地使学生适应专业学习和毕业设计,重点培养同学们动手实践和自我规划课题的能力。

专业专题实践分硬件和软件两类。其中硬件类实践是在微机原理与应用、单片机、DSP等课程基础上,结合实际的小项目,通过项目调研、方案设计、实验调试、总结报告,进行具体项目的综合训练。在整个实践过程中,希望同学们有意识地注意以下几个方面的训练:调研,方案设计,系统,控制软件方法设计,调试,总结。

同学们首先要站在宏观角度审视一个具体项目,通过调研,制定技术方案;然后按照所确定的技术路线进行相应的设计、制作、编程、调试和测试,最后进行总结和提高。希望同学们以非常认真的态度,珍惜难得的实践机会,认真完成实践任务。

需要提醒的是,专业专题实践不同于其它课程,它是项目型教学,主要是发挥同学们自己的主观能动性,自主学习性,不要依赖教师。在实践中教师是在宏观上辅导(如帮助分析方案和解答疑惑问题),所起的作用是辅助性的。更多的是需要靠同学们自己主动去调研、设计方案、实验、总结。项目型实践课程与平时的实验课不同,实验课有详细、具体的实验指导书,告诉同学们具体的实验步骤。项目实践课并不给出具体详细实践步骤和方法,需要同学们自己通过调研、与老师或同学讨论、合理分工完成。这里不仅培养同学们的自主学习(自主研发)精神和能力,也培养大家主动型的学习习惯,同时更是培养大家相互协作精神、发现问题和解决问题的能力以及研究性学习的能力。

一、实践要求

1. 每个实验项目3人一组,分工进行,提倡好的合作精神; 2. 专题实践以设计、实践为主,共四周时间;

3. 爱护设备,不随意更换设备,如有要求,请与老师联系;

4. 做硬件实验时,注意断电操作,即所有的接线、改线及拆线操作均应在不带电的状态下进行,严禁带电操作;

5. 坚持复查制度,例如通电前的复查:一人接线另一人检查,重要结果和现象复查实行二人制;

6. 每天实验完毕(包括中午),请恢复桌椅原位,保持实验室的整洁,关闭所用实验设备电源,再离开实验室;

7. 注意人身、实验仪器和设备安全,防止发生事故。万一发生事故,应立即切断电源,保护人员,保存现场,并立即向教师报告,检查事故原因,总结并吸取教训;

8. 电热设备(如烙铁)用毕必须随手拔下电源插头,以免过热引起火灾;平时注意实验板上的带电情况,测量和调试中防止触电、短路;注意示波器高频探头的地极是通过示波器电源插头的中心端接地的,切勿将该地极与交流电源的火线相接,否则将发生短路事故;

9. 参考文献或芯片、器件手册阅读量要求:20篇以上; 10. 要求每日记录工作日志和实验情况,参见简要工作日志格式。

二、实践报告及成绩评定

1. 以小组(3人名义)形式需要完成3份报告:(1)开题报告;(2)中期报告;(3)结题报告。报告要求见后面要求。注意:

2. 每人需要完成1份个人小结,第5周五前前提交,延期扣分。报告格式见后面要求。3. 实施2次检查,(1)中期检查,第2周周5;(2)结题检查,第4周周5。4. 成绩评定依据:

开题10分;中期考核10分;态度10分; 项目工作完成情况40分:

项目完成的质和量是考核的重点,还要考核同学们专题实践的主动性,项目组成员之间的合作情况,仪器设备、芯片的正确使用情况,项目验收时汇报和答辩情况等; 项目报告30分:

报告质量情况、总结的深度是考核的重点,兼顾认真程度和格式等。建议结题报告按学位论文格式完成,要求有:摘要、综述(文献阅读、任务分析、工作安排等)、正文论述、项目结论、参考文献等。

鼓励同学们自主创新,如有专利、论文等的思路或草稿,可以考虑适当加分。

.结题报告格式(每组只交一份,第2周末交)要求按毕业设计论文模板书写结题报告。报告包括以下内容: 1. 报告摘要;

2. 课题论述:包括专题实验目的,文献阅读、项目的综述,项目要求、技术要点、难点;

3. 设计方案说明,包括设计方案研究、分析、总体方案思路,所采取的技术路线,工作和时间安排,人员分工;

4. 设计内容,包括具体的项目设计,软硬件设计,调试,讨论;

资源:单片机的选择论述,软件资源的利用等; 硬件:电路原理图、PCB图(如果有的话); 软件:结构、流程、源程序和程序注释; 调试:调试过程、问题的发现和解决;

5. 课题实验、调试记录,完成情况,存在问题及可能的解决方案,工作总结; 6. 项目结论(实验结果和结论、成果、遗憾、后续工作);

7. 附录(包括列出所用软件工具、找到的各种电子参考资料、参考文献等)

个人实践小结(每人交一份),第2周末交

主要写个人对项目的理解、投入、贡献、收获,并对自己的项目工作做简单评估,按五个等级自评:优(90~100),良(80~89),中(79~70),及格(60~69),差(60以下)。请实事求是评价个人工作,过高或过低评价都不推荐。

项目1 微机继电保护的设计与实现

一.实验目的

学习和实践交流信号数据采集和微机保护算法,学习微机保护的软、硬件原理,设计微机保护原理型样机,使用学校现有单片机教学实验箱或实验板完成原理型微机继电保护装置并实现其主要功能。

二.实验任务

1. 学习一种单片机(如Intel8051、MC、ARM、瑞萨9等)或DSP(2407、2812、28335等)原理和使用,学习其编程方法;

2. 学习A/D转换技术,搭建单片机外围模拟交流信号(A/D转换器外围信号)采集环节,用信号发生器模拟继电保护电流信号(互感器输出),测试瞬时性继电保护(如电流速断)动作特性(动作和返回,返回系数0.9); 3. 设计交流信号的数据采集和微机保护算法,设计数字滤波算法,编写继电保护软件,常用有效值算法,例如半周波积分算法、差分算法、傅立叶算法等;

4. 编写实时显示程序,实现显示功能:显示交流信号有效值(折算出虚拟CT原边电流,例如100/5A,5A/2V),如能设计通讯,使用PC机做上位监控计算机实时显示测量值最好;

5. 编写延时过电流保护逻辑的微机保护软件,并实现延时过电流保护; 6. 设计实验电路板(原理图和PCB电路板),并评估。

三.相关资料和实验平台

1. 单片机或DSP实验主板,单片机或DSP资料和datasheet; 2. 继电保护、微机继电保护参考书;

3. 微机继电保护参考文献,软件流程和交流采样算法文献; 4. 实验板、部分外围芯片(如运放)、信号发生器、示波器等 5. C++编程或Lab View界面编程语言。

四.提示

请同学编写继电保护软件,主要是设计交流数据采集策略和算法,让单片机实时显示被采集的交流信号有效值,比较保护定值,决定保护动作(驱动指示灯实时点亮)。

五.选题对象

限已经选修数字和模拟电子电路、单片机课程的同学,学习过微机继电保护最好。3人一组,合理分工。

项目2 变频器的设计与实现

暂缓

一、实验目的

学习变频调速原理,学习正弦波PWM控制方法,掌握设计和实现变频调试器的方法。本任务拟采用纸上谈兵方式进行,主要是考核同学们具体任务的分析问题和解决问题的能力。要求给出设计方案、方法、作出设计、以及实现方式。

二.实验任务

1. 利用IPM设计变频器的主电路;

2. 利用瑞萨单片机、MSP430或DSP设计变频器的控制系统(主要是PWM); 3. 调试变频器的软、硬件系统,最终能带三相小型异步电机;

4. 设计相关的控制算法、控制软件,产生变频PWM信号或功率输出,实现:

(1)变频器的变频输出、V/F特性(V/F=const);

(2)电机的起动和停止特性、电机运行中的变频控制、调压控制等;(3)评估逆变器输出波形。

三.实验平台和有关资料

1. 变频器样机(供设计参考,该样机资料有限,开放性较差,能开发的余地小); 2. 提供变频器主电路板及相关器件,可在此基础上完成变频器主电路系统;

3. 自选单片机(实验室已有的)做控制平台,依据该单片机Datasheet编写相关控制软件并调试;

4. 相关的一些网站:TI公司 DSP网站上面有许多介绍变频器控制的软件、方法、甚至源代码;三菱公司网站,介绍IPM模块、整流模块;

一些变频器网站介绍变频器:如http://www.xiexiebang.com等; 5. 图书馆可借阅一些变频器相关书籍、文献。

四.选题对象

限已经选修过电力电子、数字和模拟电子电路、单片机课程的同学。

第二篇:Java Web项目实践课程设计说明书 样张

长 春 大 学课程设计纸

课程设计说明书,大体格式及要求如下:

一、封面;

线

二、目录;

三、说明书正文,主要内容包括: 1.设计题目; 2.设计目的; 3.设计分析(包括需求分析); 4.总体设计(程序主要模块和数据库设计 其中数据库设计又包括数据库概念设计(包括E-R图);数据库逻辑结构设计(设计出了具体的数据表)。5.详细设计(包括数据库实现和系统各模块的实现);(其中,数据库实现包括:数据库、数据表结构的建立、修改,数据的更新、插 入、删除和查询等 6.结论

共1页第1页

第三篇:《软件项目管理方法与实践》课程设计报告

软件项目管理方法与实践 课 程 设 计 报 告

1006602-** ***

一、设计时间

2013年12月23日-----1月6日

二、设计地点

湖南城市学院信息楼406机房

三、设计目的1,2,3,四、设计小组成员

五、指导老师

阳王东老师、费雄伟老师

六、设计课题

七、基本思路及关键问题的解决方法

八、流程图

九、调试过程中出现的问题及相应解决办法

十、课程设计心得体会

十一、源程序

参考文献

第四篇:EDA课程设计专题实践

EDA课程设计专题实践

结课论文

题目:出租车自动计费器

专业:电子信息工程

班级:电子z1301 姓名:阳家昆 学号:1310910422

一、设计题目:出租车自动计费器

二、设计目标:

1、掌握出租车的计费功能

2、进一步熟悉用VHDL语言编写出租车计费程序

三、设计要求:

1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用三位数码管显示总金额,最大值为99.9元;

2、行车里程单价1.7元/公里,等候时间单价1元/5分钟,起价8元(3公里起价)。

3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里。

4、用两个数码管显示行驶公里数;两个数码管显示等待时间;三个数码管显示收费金额。

5、设置一个复位清零按键,可将计程公里数、计时数、应付费用清零;

6、设置一个刹车按键,当松开按键时公里数开始计程,按下时停止计程,开始计时;

四、设计原理:

根据设计要求,系统的输入信号clk,计价开始信号start,等待信号stop,里程脉冲信号fin。系统的输出信号有:总费用数C0—c3,行驶距离k0—k1,等待时间m0—m1等。系统有两个脉冲输入信号clk_48m,fin,其中clk_48m将根据设计要求分频成17hz,2hz和1hz分别作为公里计费和时间计费的脉冲。两个控制输入开关start,stop;控制过程为:start作为计费开始的开关,当start为高电平时,系统开始根据输入的情况计费。当有乘客上车并开始行驶时,fin脉冲到来,进行行驶计费,此时的stop需要置为0;如需停车等待,就把stop变为高电平,并去除fin输入脉冲,进行等待计费;当乘客下车且不等待时,直接将start置为0,系统停止工作;价格开始归为起步价8.0元。整个设计由分频模块,计量模块,计费模块,控制模块和显示模块五个部分组成。其中计量模块是整个系统实现里程计数和时间计数的重要部分;控制模块是实现不同计费方式的选择部分,根据所设计的使能端选择是根据里程计费还是根据等待时间计费,同时设计通过分频模块产生不同频率的脉冲信号来实现系统的计费。计量模块采用1hz的驱动信号,计费模块采用17hz,2hz的驱动信号;计量模块每计数一次,计量模块就实现17次或者2次计数,即为实现计时的0.2元/min,计程时的1.7元/km的收费。

三、设计内容: 1.分频模块

由于实验箱上没有17hz和2hz的整数倍时钟信号,因此采用频率 较大的48mhz进行分频,以近似得到17hz,2hz和1hz的时钟频率。通过以上三种不同频率的脉冲信号实行出租车行驶,等待两种情况下的不同计费。模块元件如下:

图1分频模块实体图 Library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity pulse is port(clk_48m:in std_logic;

clk_17:buffer std_logic;

clk_2:buffer std_logic;

clk_1 : buffer std_logic);

end pulse;architecture one of pulse is signal q_17:integer range 0 to 2823528;

signal q_2:integer range 0 to 23999999;signal q_1:integer range 0 to 47999999;begin

process(clk_48m)begin If(clk_48m' event and clk_48m='1')then If q_17=2823528 then q_17<=0;clk_17<=not clk_17;

else q_17<=q_17+1;

end if;

If q_2=23999999 then q_2<=0;clk_2<=not clk_2;

else q_2<=q_2+1;

end if;

If q_1=47999999 then q_1<=0;clk_1<=not clk_1;

else q_1<=q_1+1;

end if;

end if;end process;end;2.计量模块

计量模块主要完成计时和计程功能。计时部分:计算乘客的等待累积时间,本模块中en1使能信号变为1;当clk1每来一个上升沿,计时器就自增1,计时器的量程为59min,满量程后自动归零。计程部分:计算乘客所行驶的公里数,当行驶里程大于3km时。本模块中en0使能信号变为1;当clk每来一个上升沿,计程器就自增1,计程器的量程为

99km,满量程后自动归零。

图2计量模块实物图 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity jiliang is port(start:in std_logic;

fin:in std_logic;

stop:in std_logic;

clk1:in std_logic;

en1,en0:buffer std_logic;

k1,k0:buffer std_logic_vector(3 downto 0);

m1,m0:buffer std_logic_vector(3 downto 0));

end jiliang;architecture rt2 of jiliang is signal w:integer range 0 to 59;

begin

process(clk1)begin if clk1'event and clk1='1' then

if start='1' then

w<=0;en1<='0';en0<='0';m1<=“0000”;

m0<=“0000”;k1<=“0000”;k0<=“0000”;elsif stop='0' then

if w=59 then

w<=0;

else w<=w+1;end if;if m0=“1001” then

m0<=“0000”;if m1=“0101” then

m1<=“0000”;else m1<=m1+1;end if;else m0<=m0+1;end if;if stop='0' then en0<='0';en1<='1';

else en1<='0';end if;elsif fin='1' then

if k0=“1001” then k0<=“0000”;if k1=“1001” then k1<=“0000”;

else k1<=k1+1;end if;else k0<=k0+1;end if;if stop='1' then en1<='0';if k1&k0>“00000010” then

en0<='1';

else en0<='0';end if;end if;end if;end if;end process;end rt2;3.控制模块

本模块主要是通过计量模块产生的两个不同的输入使能信号en0,en1,对每个分频模块输出的17hz,2hz的脉冲进行选择输出的过程;本模块实现了双脉冲的二选一;最终目的为了计费模块中对行驶过程中不同的时段进行计价。

图3控制模块实物图 Library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity kongzhi is port(en0,en1:in std_logic;

clk_in1:in std_logic;

clk_in2:in std_logic;

clk_out:out std_logic);

end kongzhi;architecture rt3 of kongzhi is begin process(en0,en1)begin

if en0='1' then

clk_out<=clk_in1;

elsif en1='1' then

clk_out<=clk_in2;

end if;end process;end rt3;4.计费模块

当计费信号start一直处于高电平即计费状态时,本模块根据控制模块选择出的信号从而对不同的单价时段进行计费。即行程在3km内,起步价8元;3km外以每公里1.7元计费,等待时间则按每分钟1.3元计费。c0,c1,c2分别表示费用的显示。

图4计费模块实物图 Library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity jifei is port(clk2:in std_logic;

start:in std_logic;

c0,c1,c2:buffer std_logic_vector(3 downto 0));end jifei;architecture rt4 of jifei is begin process(clk2,start)begin if start='1'then c2<=“0000”;c1<=“1000”;c0<=“0000”;

elsif clk2'event and clk2='1'then

if c0=“1001” then c0<=“0000”;

if c1=“1001” then c1<=“0000”;

if c2=“1001” then c2<=“0000”;

else c2<=c2+1;

end if;

else c1<=c1+1;

end if;

else c0<=c0+1;

end if;end if;end process;end rt4;5.显示模块

显示模块完成计价,计时和计程数据显示。计费数据送入显示模块进行译码,最后送至以十元,元,角为单位对应的数码管上显示。计时数据送入显示模块进行译码,最后送至以分为单位对应的数码管上显示。计程数据送入显示模块进行译码,最后送至以km为单位的数码管上显示。

图五显示模块实物图 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xianshi is

port(clk:in std_logic;

b,c,d,e,f,g,h:in std_logic_vector(3 downto 0);

sg:out std_logic_vector(6 downto 0);

dian:out std_logic;

bt:out std_logic_vector(7 downto 0));

end;architecture one of xianshi is signal cnt8 : std_logic_vector(2 downto 0);signal a : std_logic_vector(3 downto 0);signal xiao:std_logic;begin p1:process(cnt8)

begin

case cnt8 is

when “000”=>bt<=not“00000001”;a<=b;

when “001”=>bt<=not“00000010”;a<=c;

when “010”=>bt<=not“00000100”;a<=d;

when “011”=>bt<=not“00010000”;a<=e;

when “100”=>bt<=not“00100000”;a<=f;

when “101”=>bt<=not“01000000”;a<=g;

when “110”=>bt<=not“10000000”;a<=h;

when others=>null;

end case;

if cnt8=“001” then xiao<='0';

else xiao<='1';end if;end process p1;p2:process(clk)

begin

if clk'event and clk='1' then

if cnt8<“110” then cnt8<=cnt8+1;

else cnt8<=“000”;

end if;

end if;

end process p2;p3:process(a)

begin

case a is

when “0000”=>sg<=not“0111111”;when “0001”=>sg<=not“0000110”;

when “0010”=>sg<=not“1011011”;when “0011”=>sg<=not“1001111”;

when “0100”=>sg<=not“1100110”;when “0101”=>sg<=not“1101101”;

when “0110”=>sg<=not“1111101”;when “0111”=>sg<=not“0000111”;

when “1000”=>sg<=not“1111111”;when “1001”=>sg<=not“1101111”;

when others=>null;

end case;

end process p3;

dian<=xiao;

end;

6.频率计模块

频率计模块为扫描电路提供高频率的时钟脉冲,是扫描电路正常工作。

图6频率计模块

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity pulse1 is

port(clk: in std_logic;

--d:

in std_logic_vector(7 DOWNTO 0);

Fout: out std_logic);end;architecture one of pulse1 is signal full:std_logic;begin

p_reg:process(clk)

variable cnt8:integer range 48000000 downto 0;

begin

if clk'event and clk='1'then

if cnt8 =2399 then

cnt8:=0;

full<='1';

else cnt8:=cnt8+1;

full<='0';

end if;

end if;end process p_reg;p_div:process(full)

variable cnt2:std_logic;

begin

if full'event and full='1' then

cnt2:=not cnt2;

If cnt2='1'then fout<='1';

else fout<='0';

end if;

end if;end process p_div;end;

7、总结构图

四、实验现象

当start为按下时里程数开始计数,当里程数小于3时总金额恒为8元钱,当里程数大于3时总金额以17hz的频率加1。当按下stop时,里程数停止计数,时间开始计数,同时总金额以2hz的频率加1。当松开stop里程数又开始计数,当start松开时,总金额变为8元,里程数和时间都变为0.五、实验感想 经历这次实验是我对EDA编程有了新的认识,在自己编写出程序之后运行没有报错并不代表你的程序就对了。因为结果不一样那么程序还是存在着问题,那么这时应该一个模块一个模块的检查。在检查的时应该对相应模块做出波形图没看时候和自己的功能一样,是否达到所要的结果。在实在不知道哪里错了没我们可以请教老师,或者自己查询网络。我觉的编程时构思是相当重要的,这决定你的程序的复杂程度,越复杂的的程序出错的几率越大,当你修改的时候将会越困难,当然一个好的构思并不是你想的那么简单,这必须是多次编程累计的经验。当变得程序越多,你对程序了解的也就越深,自然而然你对编程的熟练度也就有很大的提升。这也就告诉我们,应盖在学习的时候好好学习才对,别老想着滥竽充数。

第五篇:课程设计实践心得

一、课程设计的目的

掌握电力系统潮流计算的基本原理。掌握并熟练运用MATLAB仿真软件。

采用MATLAB仿真软件,做出系统接线图的潮流计算仿真结果。

二、课程设计要求

1、在读懂程序的基础上画出潮流计算基本流程图

2、通过输入数据,进行潮流计算输出结果

3、对不同的负荷变化,分析潮流分布,写出分析说明。

4、对不同的负荷变化,进行潮流的调节控制,并说明调节控制的方法,并列表表示调节控制的参数变化。

5、打印利用MATLAB进行潮流分析绘制的系统图,以及潮流分布图。

三、课程设计基本内容

1.对给定的网络查找潮流计算所需的各元件等值参数,画出等值电路图。

2.输入各支路数据,各节点数据利用给定的程序进行在变电所在某一负荷情况下的潮流计算,并对计算结果进行分析。

3.跟随变电所负荷按一定比例发生变化,进行潮流计算分析。1)4个变电所的负荷同时以2%的比例增大; 2)4个变电所的负荷同时以2%的比例下降;

3)1和4号变电所的负荷同时以2%的比例下降,而2和3号变电所的负荷同时以2%的比例上升;

4.在不同的负荷情况下,分析潮流计算的结果,如果各母线电压不满足要求,进行电压的调整。(变电所低压母线电压10KV要求调整范围在9.5-10.5之间;电压35KV要求调整范围在35-36之间)。

5.轮流断开环网一回线,分析潮流的分布。

6.利用MATLAB软件,进行绘制系统图进行上述各种情况潮流的分析,并进行结果的比较。

7.最终形成课程设计成品说明书。

四、问题分析

1、节点设置及分类

2设为节点1,10,根据系统图可知此系统为两端供电网路,将母线1,将变电所1、2、3、4的高低压侧分别设为节点2、3、4、5、6、7、8、9。并且,将节点1设为平衡节点,将节点10设为PV节点,其余节点设为PQ节点。

2、参数求取

设定基准值SB100MVA,UB220KV,所以ZB资料,计算发电厂、变压器及线路的参数。

(1)运用下列公式计算变压器参数:

SBUB2484根据题目原始

PUU%URX100S 1000S22kNKNT2TNNZ(2)计算线路参数

RTXTTZTZZTB

ZRjX(rjx)L

(3)变电所负荷分别为:

变电所1

SL=50+j30.987

变电所2

SL=40+j27.79 变电所3

SL=50+j30.987

变电所4

SL=60+j37.18

3、计算变压器分接头变比

变压器有5个抽头,电压调节范围为UN2*2.5%,UN对应的分接头开始时设变压器高压侧接主接头,降压变压器5个分接头时的非标准变比k*以备调压时选用

对变电所低压母线为35KV时,非标准变比与10KV时相同。

4、计算方法

利用牛顿拉夫逊法进行求解,用MATLAB软件编程,可以求解系统潮流分 布根据题目的不同要求对参数进行调整,通过调节变压器变比和发电厂的电压,求解出合理的潮流分布,最后用PSAT进行潮流分析,将两者进行比较。

5、牛顿—拉夫逊法

(1)牛顿—拉夫逊法概要

首先对一般的牛顿—拉夫逊法作简单的说明。已知一个变量X函数为:

到此方程时,由适当的近似值X(n1)(0)220(15%)100.95511220 220(12.5%)10k*20.93211220 22010k*30.90911220 220(12.5%)10k*40.88611220 220(15%)10k*50.86411220 k*1f(X)0

出发,根据:

(n)X反复进行计算,当X的牛顿—拉夫逊法。

(n)Xf(X(n))(n1,2,......)f(X(n))

满足适当的收敛条件就是上面方程的根。这样的方法就是所谓

(n)这一方法还可以做下面的解释,设第n次迭代得到的解语真值之差,即X时,则:

把f(X(n))在X(n)的误差为附近对用泰勒级数展开

)f(X(n)f(X(n))0)f(X(n)f(X(n))22!f(X(n))......0

上式省略去2以后部分

f(X(n))f(X(n))0

(n)X的误差可以近似由上式计算出来。

f(X(n))(n)f(X)比较两式,可以看出牛顿—拉夫逊法的休整量和X用同样的方法考虑,给出n个变量的n个方程:

(n)的误差的一次项相等。

f1(X1,X2,,Xn)0f(X,X,,X)0212n fn(X1,X2,,Xn)0对其近似解X得修正量X可以通过解下边的方程来确定:

11f1f1f1,,Xn)f1(X1,X2xxx12nf(X,X,,X)X1f2n212f2f2X2x1x2 xnXfnfnfnnfn(X1,X2,,X)nxnx1x2fn,X2,,Xn的值。式中等号右边的矩阵都是对于X1这一矩阵称为雅可比(JACOBI)

xn,X2,,Xn后,得到如下关系 矩阵。按上述得到的修正向量X1XnXn Xn,X2,,Xn更接近真实值。这一步在收敛到希望的值以前重复进行,一般要反这比X1复计算满足

maxX1n1X1n1,X2n1X2n1,,Xnn1Xnn1

为预先规定的小正数,Xnn1是第n次迭代Xn(2)牛顿法的框图及求解过程

用牛顿法计算潮流时,有以下的步骤: ①给这各节点电压初始值e(0),f(0); 的近似值。

②将以上电压初始值代入公式,求修正方程的常数项向量P(0),Q(0),(V2)(0); ③将电压初始值在带入上述公式,求出修正方程中系数矩阵的各元素。④解修正方程式e(0),f(0);

f(0)f(0);

⑥将e(1),f(1)在带入方程式,求出P(1),Q(1),(V2)(1); ⑤修正各节点电压e(1)e(0)e(0),f⑦检验是否收敛,即maxPi(1)(k),Qi(k)

如果收敛,迭代到此结束,进一步计算各线路潮流和平衡节点功率,并打印输出结果。如果不收敛,转回②进行下次迭代计算,直到收敛为止。

五、问题求解

电压是衡量电力系统电能质量的标准之一。电压过高或过低,都将对人身及其用电设备产生重大的影响。保证用户的电压接近额定值是电力系统调度的基本任务之一。当系统的电压偏离允许值时,电力系统必须应用电压调节技术调节系统电压的大小,使其维持在允许值范围内。本文经过手算形成了等值电路图,并编写好了程序得出节点电压标幺值,使其满足所要求的调整范围。

我们首先对给定的程序输入部分作了简要的分析,程序开始需要我们确定输入节点数、支路数、平衡母线号、支路参数矩阵、节点参数矩阵。

(1)为了保证整个系统潮流计算的完整性,我们把凡具有母线及发电机处均选作节点,这样,可以确定有10个节点,节点号见等值电路图。

(2)确定完节点及编号后,各条支路也相应确定了,网络中总计有13条支路,我们对各支路参数进行了计算。根据所给实际电路图和题中的已知条件,有以下公式计算各输电线路的阻抗和对地支路电容的标幺值和变压器的阻抗标幺值。选择电压基准值为UB=220KV和功率基准值SB=100MVA,所以ZB

六、误差分析

UB=484。SB以系统在正常运行的情况下为例。利用PSAT软件,比对MATLAB中的B1、B2矩阵,得到各个节点的电压状况。将PSAT软件运行的结果对MATLAB运行的结果进行校验。同时利用该软件对图形颜色的变化的描绘和数据对结果进行呈现。得到PSAT软件运行的结果各节点的电压标幺值,并与MATLAB程序运行得到的结果进行比较。

七、心得体会及总结

此次课程设计使我在潮流计算、MATLAB的使用方面均有所提高,但也暴露出了一些问题;理论知识的储备还是不足,对MATLAB的性能和特点还不能有一个全面的把握,对MATLAB中PSAT工具箱使用不够熟悉,相信通过以后的学习能弥补这些不足,从而达到一个新的层次。潮流计算是电力系统的最基本、最常用的分析计算。用以研究系统规划和运行中提出的各种问题。对规划中的电力系统,通过潮流计算可以检验所提出的电力系统规划方案能否满足各种运行方式的要求;对运行中的电力系统,通过潮流计算可以预知各种负荷变化和网络结构的改变会不会危及系统的安全,系统中所有母线的电压是否在允许的范围以内,系统中各种元件(线路、变压器等)是否会出现过负荷,以及可能出现过负荷时应事先采取哪些预防措施等。实际的情况远比我们计算的情况复杂,这让我深刻了解了潮流计算的重要性。精准的潮流计算不仅可以使电网处于稳定且平衡的状态,这对电网的安全运行起到关键性的作用,还可以实现运行的经济性。所以我认为学好电力系统的关键在于学好潮流计算,电力系统的安全运行不是儿戏,一个很小的失误就可能造成整个电网的崩溃,这给国家和社会带来的危害和损失之大是可想而知的。学好电力系统这门课、学好潮流计算是我们电专业学生的当务之急。没有坚实的基础就不可能建造出高楼大厦,所以,要想在以后的工作岗位上有所成就,就必须打好基础,努力提高自己的专业素养。

通过此次课程设使得我更加扎实的掌握了有关潮流计算和MATLAB方面相关的知识,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。过而能改,善莫大焉。在课程设计过程中,我们不断发现错误,不断改正不断领悟和不断获取。最终的检测调试环节本身就是在践行“过而能改,善莫大焉”的知行观。这次课程设计终于顺利完成了。在设计中遇到了很多问题,最后在老师的指导下。终于游逆而解。在今后社会的发展和学习实践过程中,一定要不懈努力不能遇到问题就想到要退缩,一定要不厌其烦的发现问题所在,然后一一进行解决。只有这样,才能成功的做成想做的事,才能在今后的道路上披荆斩棘,而不是知难而退,那样永远不可能收获成功,收获喜悦。也永远不可能得到社会及他人对你的认可。

课程设计诚然是一门专业课,给我很多专业知识以及专业技能上的提升,同时又是一门讲道课;一门辩思课,给了我许多道,给了我很多思,给了我莫大的空间。同时设计让我感触很深。使我对抽象的理论有了具体的认识。通过这次课程设计。我掌握了MATLAB中各种元器件的识别和使用,熟悉了和了解了潮流计算的基本原理。以及如何提高实验的求解精度和收敛性能。掌握了MATLAB软件的方法和技术。

我认为,在这学期的实验中,不仅培养了独立思考、动手操作的能力。在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践、再学习、再实践。这对于我们的将来也有很大的帮助。以后不管有多苦,我想我们都能变苦为乐。找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。

回顾起此课程设计,至今我仍感慨颇多。从理论到实践,在这段日子里,可以说得是苦多于甜,但是可以学到很多很多的东西,同时不仅可以巩固了以前所学过的知识。而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的。只有把所学的理论知识与实践相结合起来从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,但可喜的是最终都得到了解决。

实验过程中,也对团队精神的进行了考察,让我们在合作起来更加默契,在成功后一起体会喜悦的心情。果然是团结就是力量。只有互相之间默契融洽的配合才能换来最终完美的结果。此次设计也让我明白了思路即出路,有什么不懂不明白的地方要及时请教或上网查询。只要认真钻研、动脑思考、动手实践,就没有弄不懂的知识,收获颇丰。课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。随着科学技术发展的日新日异,MATLB已经成为当前电力系统重要的仿真软件之一。因此作为二十一世纪的电气专业的大学来说掌握MATLB的开发技术是十分重要的。回顾起此次课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在整整两星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的东西。同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论。

与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处。对以前所学过的知识理解得不够深刻,掌握得不够牢固。比如说MATLAB中原理图的搭建以及潮流计算的基本原理掌握得不好。通过这次课程设计之后,一定把以前所学过的知识重新温故。

这次课程设计终于顺利完成了,在设计中遇到了很多编程问题。最后在陈老师的辛勤指导下,终于游逆而解。同时,在陈老师的身上我学得到很多实用的知识。

整个设计通过了软件调试和图形搭建以及程序设计。我想这对于自己以后的学习和工作都会有很大的帮助。在这次设计中遇到了很多实际性的问题,在实际设计中才发现书本上理论性的东西与在实际运用中的还是有一定的出入的。所以有些问题不但要深入地理解,而且要不断地更正以前的错误思维。一切问题必须要靠自己一点一滴的解决,而在解决的过程当中你会发现自己在飞速的提升。对于教材管理系统,其程序是比较简单的,主要是解决程序设计中的问题。而程序设计是一个很灵活的东西,它反映了你解决问题的逻辑思维和创新能力,它才是一个设计的灵魂所在。因此在整个设计过程中大部分时间是用在MATLAB图形的搭建和参数的设置。其中很多子程序是可以借鉴书本上的,但怎样衔接各个子程序才是关键的问题所在,这需要对系统的结构很熟悉。因此可以说系统的设计是软件和硬件的结合,二者是密不可分的。通过这次课程设计我也发现了自身存在的不足之处,虽然感觉理论上已经掌握,但在运用到实践的过程中仍有意想不到的困惑,经过一番努力才得以解决。这也激发了我今后努力学习的兴趣,我想这将对我以后的学习产生积极的影响。其次,这次课程设计让我充分认识到团队合作的重要性,只有分工协作才能保证整个项目的有条不紊。另外在课程设计的过程中,当我们碰到不明白的问题时,陈老师总是耐心的讲解,给我们的设计以极大的帮助,使我们获益匪浅。因此非常感谢老师的教导。通过这次设计,我懂得了学习的重要性,了解到理论知识与实践相结合的重要意义,学会了坚持、耐心和努力,这将为自己今后的学习和工作做出了最好的榜样。我觉得作为一名电气工程专业的学生,这次课程设计是很有意义的。更重要的是如何把自己平时所学的东西应用到实际中。虽然自己对于这门课程懂的并不多,很多基础的东西都还没有很好的掌握,觉得很难,也没有很有效的办法通过自身去理解。但是通过这次的课程设计的沟通和各个小组同学探讨,渐渐对这门课程逐渐产生了些许的兴趣,自己开始主动学习并逐步从基础慢慢开始弄懂它。

下载课程设计项目实践word格式文档
下载课程设计项目实践.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    动漫项目课程设计及实践分析论文(共五则)

    一、校企合作课程概述所谓校企合作课程指的是,在现阶段我国社会主义现代化建设和教育教学方面为了进一步满足社会对综合型人才的需要,学校和企业之间就某一项目或某几个项目进......

    单片机课程设计设计项目

    09级通信专业《课程设计》方案 单片机应用系统设计项目介绍学生:09级通信1、2、3班指导教师:周秋茜一、16×16点阵LED电子显示屏的设计1.功能要求设计一个室内用16×16点阵LED......

    项目管理课程设计心得

    课程设计心得通过这次的银海城市花园施工组织设计,我从中学会了很多。 这次的课程设计项目中我主要是负责的质量保证措施这一方面的问题,所以对此的印象极为深刻。在质量的这......

    软件工程与实践课程设计

    《软件工程与实践》课程设计方案 本课程属专业必修课,是一门实践性较强的计算机类课程,授课对象为计算机专业及相关专业的本科生。本课程是对软件工程课程所述内容的进一步深......

    c 课程设计实践报告

    c++课程设计实践报告 班级:83121 姓名:张弛 学号:XX1000491 题目一、 题目描述: 已知银行整存整取存款不同期限的月息利率(采用复利 方式,即利滚利)分别为 % 期限一年 % 期限二年......

    综合实践活动课程设计(原创)

    综合实践活动课程主题设计活动主题:重拾民俗民风,弘扬传统文化综合实践活动课程设计活 动 主 题重拾民俗民风,弘扬传统文化一、课 题 产 生 的 背 景:首先,现在的城市满街都是麦......

    创新实践课程设计要求(★)

    《创新实践》课程设计基本步骤 1、 需求分析 以无歧义的陈述说明程序设计的任务,强调的是程序要做什么。明确规定:输入的形式和输入值的范围;输出的形式;程序所能达到的功能;测试......

    房地产项目策划课程设计(精选五篇)

    四节 项目SWOT分析 优势: 1、地理位置优越:地块东北面和西面紧邻西二环和工业路交通主干道,沿街商业面积比重大; 2、交通便利:项目所在区域交通条件便利,属于二环的黄金位置,使得出......