关于PLL核建立仿真总结

时间:2019-05-12 12:59:34下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《关于PLL核建立仿真总结》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《关于PLL核建立仿真总结》。

第一篇:关于PLL核建立仿真总结

关于altera PLL核建立和仿真总结

1、……fre_div_ipsimulationmodelsim: gate_work, rtl_work, Verilog libraries:三个库文件文件夹

2、toolsmagwizard plugin managerioatlpll新建PLL IP核,并设置为自动添加到工程中

3、project中包含的都是.V结尾的文件。各种库也是.V类型的文件。在进行PLL核的仿真过程中,需要添加altera_mf库进行功能仿真,添加altera_mf库,器件底层原语仿真库文件cycloneii_atoms.v进行时序仿真。因为PLL核代码中用到了altera_mf库中的函数。

4、altera11.1quartusedasim_lib是altera自带仿真库的路径

5、在Quartus中调用modelsim进行仿真,会自动将modelsim的directory指向……fre_div_ipsimulationmodelsim

6、PLL核RTL视图:

Areset高电平复位,低电平PLL输出; C0,c1,c2,c3,c4,c5都是PLL输出,可独立设置分频系数。

7、关于IP核的仿真最好使用Modelsim-Altera,自带Altera_mf等仿真库,不用手动添加到工程当中。

8、添加PLL核到工程当中的层次图:

9、“clk.vhd”+“clk.bsf”+“clk_inst.vhd”+“clk.cmp”+“clk.ppf” PLL核的VHDL代码存放在clk.vhd当中,例化结构代码存放在clk_inst.vhd中。

10、顶层代码: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;

entity top_module is port(clkin,rxd:in std_logic;eoc:in std_logic_vector(8 downto 0);datain: in std_logic_vector(71 downto 0);eoc_flag_1,clk_10m,sample_store_flag:buffer std_logic;hold,rd,cs:buffer std_logic_vector(8 downto 0);a0,a1,a2:out std_logic_vector(8 downto 0);address:buffer std_logic_vector(17 downto 0);dataout:inout std_logic_vector(7 downto 0);cs_r,rd_r,reset,clk_5m,txd:buffer std_logic);end entity;

architecture behave of top_module is

signal cnt:integer;signal main_state:integer range 8 downto 0;signal start_flag:std_logic;signal byte_finish_flag:std_logic;signal data_reg,data_uart:std_logic_vector(7 downto 0);signal store_point_cnt:integer;signal upload_flag:std_logic;signal locked,areset:std_logic;

begin

areset<='0';

i7:clk port map(inclk0=>clkin,c0=>clk_10m,c1=>clk_5m,locked=>locked,areset=>areset);

end behave;----------------library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;

entity single_eoc is port(clk_10m:in std_logic;eoc:in std_logic;cnt:inout integer;eoc_flag:buffer std_logic:='0');end entity;

architecture behave of single_eoc is signal eoc_cnt:natural range 0 to 2:=0;signal eoc_state:natural range 0 to 1:=1;begin process(clk_10m,eoc)//必须用10M的时钟,cnt在很长一段时间内都为0 begin if rising_edge(clk_10m)then

if(eoc_flag='0')then

case eoc_state is

when 0 =>

if(eoc='1')then

eoc_state<=1;

if(eoc_cnt=2)then

eoc_cnt<=0;

eoc_flag<='1';

else

eoc_cnt<=eoc_cnt+1;

end if;

end if;

when 1 =>

if(eoc='0')then

eoc_state<=0;

end if;

end case;

else

if(cnt=0)then

eoc_flag<='0';

end if;

end if;end if;end process;end architecture;

第二篇:altera_modelsim6.1g仿真总结

软件环境说明:quartusII 7.2 sp3+altera_modelsim6.1g

(作者:刘国华)

1,软件的安装

详细请看《如何破解ModelSim-Altera 6.1g.pdf》。(一个注意的地方就是环境变量设置完后一定要重新启动)2,软件的设置

《如何解決在Quartus II无法使用ModelSim-Altera模拟的問題.pdf》。3,用altera_modelsim6.1g做功能仿真,设置地方有3处如下:

接着就是运行仿真软件,进行仿真: 方法有两种:

①编译完后,通过自动运行

②编译完后,手动运行,一般运行Gate Level Simulation

仿真图如下,由于是功能仿真,所以没有延时信息出现:

4,关于do文件的使用技巧问题:

执行的默认脚本在:工程所在目录simulationmodelsim下,例如我的工程:

该do文件的内容为: transcript on if {[file exists gate_work]} { #判断库是否存在,存在的话就先删除

vdel-lib gate_work-all } vlib gate_work

#建立一个库 vmap work gate_work #映射库

vlog-vlog01compat-work work +incdir+.{counter.vo} #编译文件 vlog-vlog01compat-work work +incdir+E:/pratice/verilog/my_counter {E:/pratice/verilog/my_counter/testbench.v}

#编译文件 vsim-t 1ps-L cyclone_ver-L gate_work-L work top_tb #启动仿真 add wave * #把testbench.v的顶层信号加入到波形窗口中 view structure view signals #打开信号窗口 run –all #执行仿真、modelsim窗口指示的就是这个脚本:

如把以下的选项勾起,并指定一个do文件:

这个时候上面那个counter_run_msim_gate_verilog.do文件的脚本就会发生以下变化: transcript on if {[file exists gate_work]} { vdel-lib gate_work-all } vlib gate_work vmap work gate_work

vlog-vlog01compat-work work +incdir+.{counter.vo} vlog-vlog01compat-work work +incdir+E:/pratice/verilog/my_counter {E:/pratice/verilog/my_counter/testbench.v}

vsim-t 1ps-L cyclone_ver-L gate_work-L work top_tb #执行自己写的do文件,这样的话,就可以很好的控制自己想看的信号 do E:/pratice/verilog/my_counter/simulation/modelsim/my.do my.do文件如下: view signal view wave add wave * #将设计文件的内部信号cnt以无符号十进制的形式加入到波形图 add wave-radix unsigned /top_tb/tb_counter/cnt

run –all

5,用altera_modelsim6.1g做布局布线后的仿真(后仿真),设置地方有2处如下:

仿真图如下,可以看到下图明显存在延时信息:

第三篇:仿真机总结

七月仿真机总结

这个月学习班仿真机我们练习了事故处理和冷态启动,本次的练习总结如下:

事故处理过程中我主要是配合锅炉辅机的调整。

一、空预器跳闸,立即关闭各风烟挡板,打掉一台磨,调整炉膛负压正常,视压力快速减负荷,视排烟温度,调整燃烧。仿真机三台磨可以维持排烟温度,正常运行中如果无法维持再打掉一台磨投一层油枪,保证燃烧稳定。

二、两台磨组断煤,立即加大运行磨组出力,同时投AC层油枪,将跳闸磨冷风全开,热风全关,防止磨出口温度高跳闸。视压力减负荷。调整监视好各水位、气温。

三、一台电泵跳闸,立即将另一台电泵出力加到最大,打掉一台磨,快速减负荷,视水位继续减小磨出力。由于一台电泵运行气温波动比较大加强调整。待水位稳定后检查备用泵,处理跳闸泵,尽快恢复。

四、减温水全部中断,立即打掉一台磨,降低其它磨组出力,适当减负荷,同时将减温水调整门关至百分之十左右,防止突然来水气温突降。

冷态启动过程从设备的检查恢复到点火挂闸。期间操作还算顺利,但在最后挂闸后汽机开始冲转没能及时发现,检查不到位,粗心大意。

本次事故过程中我负责加减负荷,但现在小网运行,加减负荷不能大幅度操作,遇到事故也不能随便解除AGC。这也给我们敲响了警钟,在今后遇到问题一定要多考虑,切记盲目操作。加强学习,保证机组的安全运行。

童晓霖

第四篇:仿真复习总结

系统的特性:整体性、管良性、目的性、环境适应性

    复习要点

系统仿真的概述

系统仿真中的随机变量 系统仿真的模型

 系统仿真的结果分析

 系统仿真的方法

 系统仿真的应用

一、系统仿真的概述  为什么要进行系统仿真

 因为系统是一个复杂的整体,系统模型的建立是个定量的过程,又存在着随机性系统,当遇到一些传统计算方法无法解决的问题,以及进行计算机实验时,就必须要进行系统仿真

 系统仿真的定义、实质P4 定义:在就是在建立数学逻辑模型的基础上,通过计算机试验,对一个系统按照一定得决策原则或作业规则由一个状态变换为另一个状态的动态行为进行描述和分析。实质:模拟是一种数值技术、人工实验手段、由于计算机可以加速模拟实验过程和减少误差,因此计算机模拟在整个系统模拟中占据着日益重要的地位。模拟是对系统状态在时间序列中的动态写照。大多数的管理系统模拟属于随机性系统模拟,但某些情况下可采用确定性系统模拟来处理所研究的问题以简化。 系统仿真的作用P5 深入了解和改进现有的实际运行系统 节约投资

在不同决策方案中择优  系统仿真的类型 P5 根据模型和实验系统的性质:

物理仿真、模拟机仿真、数字仿真、数字机与模拟机混合仿真、仿真器仿真 P14:离散型模型、连续性模型、复合型模型 

二、系统仿真中的随机变量  随机数的产生

1.(0,1)区间均匀分布 P25(是最简单的连续分布)(基本特点:密度函数、分布函数、期望、方差)(基本性质:均匀性、独立性)

2.(a,b)区间均匀分布

x=a+(b-a)u u为(0,1)的均匀分布随机数

3.负指数分布

x=  ln  ui

i=1

i=1

5.爱尔兰分布

m

x= (-ln(ui)/ m)

i=1

6.正态分布

Z= u i-6

i=1

X= + Z

7.经验分布

计算累积分布函数;

产生(0,1)均匀分布随机数;

落在的区间所对应的数即为要求的随机变量。

P33反变换法 舍选法 组合法近似法 表搜索法

三、系统仿真的名词术语

1.实体:一个系统边界内部的客观对象,可分为永久性实体(指经常处于系统之内,其数量保持相对稳定的实体)和临时性实体(指先进入系统并在经过相应环节以后再离开系统,它们在系统内的数量经常发生变化的实体)

2.属性:系统实体的特性

3.活动:占用一定时间和资源,导致系统状态发生改变的一定过程

4.系统状态:某时间点对系统的所有实体、属性的活动的描述

5.事件:引起系统状态发生瞬间变化的事实,可以是一个实体的产生或消失、系统实体的属性值的改变或者一项活动的开始或结束。可分为时间事件(指按系统的作业规则在预定的时间发生的事件)和状态事件(当系统状态符合某种条件发生的事件)。

6.时钟:

1、仿真时,仿真时间是按照仿真时钟来显示的。通常仿真开始时,把仿真时钟定为零。

2、仿真时钟随着仿真进程逐步增加,仿真时钟的事数值是出自仿真运行开始以来,仿真共花去多少仿真时间。

3、主时钟:控制整个仿真过程。

4、子时钟:控制系统中的某些单个实体。

5、仿真时钟与机器时钟区分:仿真时钟的示数与真实系统的时间基准相一致,但不一定与自然时钟同步;而机器时钟却是与自然时钟同步的。

7.因子:是系统的输入变量,由数量因子(可用数量描述的因子)和质量因子(表示某种结构性假设且不能用数量表示的因子)组成P89

8.因子的水平:模拟输入变量的可能取值或质量因子可取的方案。

9.处理: 在规定水平上的因子组合。

 仿真的时间推进 P56下一事件步长法:仿真时间由一个生成时刻推进到下一生成时刻,而相邻两时刻间的时差一般是随机的,即为一种变步长法;定步长法:仿真时间按固定步长向前推进。

 排队规则:指用来确定如何从等候的队中挑选一个实体为之服务的规则。

常用的:先入先出规则FIFO、后入先出LIFO、优先级规则Priority-Driven、最短工作优先规则SJF、循环规则Round-Robin  仿真的同时事件管理:

同类同时事件管理:设优先级

混合同时事件管理:方法1,一步法——直接确定混合同时事件所形成的结果状态 方法2,解结法——按一定规则先选一件使之发生,然后根据新的状态再选一件使之发生,直到所有将发生的事件都发生完毕为止。 仿真过程中事件、活动、状态的关系

 仿真中的指标统计

四、系统仿真的结果分析(1)为什么要进行仿真结果的分析

仿真的输出结果是分布特征未知的随机变量,并且每次仿真的结果都是对该随机变量所有观察值总体的一次抽样,所以要借助数理统计的方法进行结果分析。

(2)仿真输出结果分析的主要内容是什么? 点估计(是利用随机样本的均值和方差对系统真是参数的数学期望和方差进行估价)和区间估计(P67-68)

(3)仿真输出结果分析对仿真的要求是什么? 1.独立的重复运行,使各次输出结果具有统计上的独立性(数理统计的要求)2.足够多的独立运行次数(结果精度的要求)(4)仿真的类别和特点

终态模拟:在规定的事件时间Te内做模拟运行,并统计系统性能测度的一种模拟方式;初始状态的确定对结果影响很大。稳态模拟:模拟运行时间趋于无穷时,系统的性能测度达到某一极限值且趋于平稳状态的模拟方式。初始状态不影响结果。

(5)独立重复仿真的特点是什么 是用相同的输入数据,在相同的初始条件下,用不同的随机数流进行一系列重复的模拟运行(每次重复运行新取一次种子值)(6)独立重复仿真次数如何确定?P71 获取规定精度的置信区间

(1)如果重复运行次数P太小,则不能满足精度要求;(2)如果重复运行次数P太大,则浪费机时。

 获取规定精度的置信区间

所必需的最少重复运行次数P* tP1,1/2S2P

(7)多方案比较的主要目的?和可能结果是什么? 对多方案的模拟输出做统计上的比较和分析,是为了鉴别不模拟运行后的不同方案或多种策略产生性能测度差异的原因,从而达到正确选优的目的。两方案比较:

(P74比较目的:)两个方案的平均差别有多大、对平均差别的估计精度、两个方案之间是否有显著性差别

可能结果:

1、E(Y1)-E(Y2)的95%置信区间在0左侧,即至少有95%的把握E(Y1)-E(Y2)<0,则方案1优于2。

2、E(Y1)-E(Y2)的95%置信区间在0右侧,即至少有95%的把握E(Y2)-E(Y1)<0,则方案2优于1。

3、E(Y1)-E(Y2)的95%置信区间内含0,则优劣难以判断。

 会计算:

• • • 均值、方差、置信区间

仿真次数

方案比较

五、系统仿真的方法

(1)模型的核实、致效的概念 P80-81 核实:检查仿真程序是否能按预期的模型行为进行。

致效:检查仿真模型是否能够很好的描述真实系统

(2)仿真结果的方差缩减技术的原理、适用情况(公共随机数、对偶变量)P84-86 采用方差缩减技术的目的就在于采取与模拟运行有关的统计方法,使模拟输出的方差减小,但不影响其期望值的位置,从而达到用较少的重复运行次数,得到较小的置信区间和较高的模拟精度。

公共随机数法:适用于不同方案的模拟;对偶变量法:适用于单一系统模拟的方案。

m(3)仿真实验设计要求、2析因实验设计的特点、基本原理 P91 P89 模拟实验设计的基本要求:1.事先确定模拟的处理方案,用最少的模拟时间得到所需的模拟输出结果。2.可以有目的地做模拟实验,避免无目的地或非系统化地做模拟运行,以提高模拟研究的效率。3.进行输入变量的灵敏度分析,即按需先设计的模拟方案做模拟实验,确定哪些变量对输出响应的影响最大。4.确定最优的变量组合,给出系统的最优响应。在m>=2个因子时,每个因子只取两个水平的实验设计方法。这种实验设计方法并不着眼于对各因子所有水平作出分析,其目的仅在于了解每一因子对响应的影响及因子之间的相互影响。每个因子只取一个高水平和一个低水平

六、系统仿真的应用

结合所学专业,能对某一实际系统运用仿真建模的原理进行系统分析、主要变量(随机变量)的确定和分析、仿真的基本流程设计等。

第五篇:仿真绘图总结

Simulink仿真绘图总结:建议使用方法4,方法1,2不宜使用。1.运行仿真模型,用Scope观察结果,用ALT+PrintScreen抓取图形,Ctrl+V粘贴到Word。

2.使用Scope打印功能,在Word中插入图形来自文件。

3.在Scope中参数设置如下:

在MATLAB命令窗口输入:plot(x(:,1),x(:,2:4));%%%%此处有三个输出。在figure/Edit菜单下选择Copy Options…,在打开得对话框中设置如下:

然后在figure/Edit菜单下选择Copy Figure,Ctrl+V粘贴到Word。

这时还可再用绘图命令修改完善,如下: 4.用

out模块替代Scope模块,仿真结束后用绘图命令:plot(tout,yout);在figure/Edit菜单下选择Copy Figure,Ctrl+V粘贴到Word。

5.使用plot(tout,yout);saveas(gcf,'myfigure','emf');在Word中图片

myfigure.emf

。插入***00.511.522.533.544.5

如何编辑和保存simulink中scope显示结果

关于scope结果的保存,论坛里的一般回答都是输出到workspace,再plot,但是plot在一张图里只可以有一个坐标系,在多变量情况下很不方便,不能实现scope中多axis的情况。另外若直接打印scope显示的结果,图形颜色无法编辑,也不能在图上加线条或文字。可以在打开scope情况下,在matlab中输入命令shh = get(0,'ShowHiddenHandles');set(0,'ShowHiddenHandles','On')set(gcf,'menubar','figure')set(gcf,'CloseRequestFcn','closereq')set(gcf,'DefaultLineClipping','Off')set(0,'ShowHiddenHandles',shh)这样scope隐藏的编辑菜单就会出现,与plot中的菜单类似,可以方便的编辑scope中的图形,并可以将图形另存为*.fig,或者export为*.jpg,*.bmp等等。使用plot打印图片:1.首先添加一个clock时间控件,输出时间t到一个示波器里。修改该示波器参数,进入到datahistory,删除limit data,勾选save data to workspace,变量名t,格式array。(或者使用to workspace这样一个控件)2.仿照上面示波器参数设置,修改你所要显示的示波器。设变量名为x3.在MATLAB主界面(或新建一个m文件)输入:plot(t,x)(ps:有时候t可能不止一列,需要选择一下,如:plot(t(:,1),x))4.整理图像,选edit下copy figure,粘贴入word中。

simulink

在一个图形中画出多个示波器曲线的方法 最近碰到一个问题,就是做仿真模型的时候需要在这个模型的基础上,改变相应的参数,画出相应的转矩或者角速度的图像,这样就能在一个图形中画出个曲线,可以比较不同参数下对转矩或者角速度的影响。具体方法是,把示波器的图像显示在图形中,前面的博文已经做过了解决。那就是通过设置示波器参数把示波器图形用plot命令显示。如:双击所要输出波形的示波器,打开示波器参数选择窗口,点击“Data history”标签,将第二个参数“Save data to workspace”打勾(如下图)。可填写变量名和选择格式。变量名随便,好记就行,格式选择arry。这里顺便说一句。在仿真时经常会出现仿真结束后,示波器显示的波形只有一部分的现象,这是第一个参数“Limit data points to last”被选中的缘故。这个参数被选中,输出点数被限制,当然波形就只能显示一部分了,只不过这样可以节省内存罢了。要全部显示,只要不勾就行了。一切选择好后,点OK退出,运行仿真。在仿真结束后,在workspace里面会出现一个和前面设定的变量名相同名字的结构体变量。该变量中主要有一个名字为signals的结构体和一个名为time的向量。在signals里面还有

values的向量。这就是绘制新图形的数据基础。在命令窗口输入 plot(ScopeData.time,ScopeData.signals.values,'k')这样就可以输出一个坐标清楚的图形了。但是此时的坐标没有标注坐标所代表的量的名称。此时输入xlabel('time(s)'),在X坐标下就会显示time(s)字样,输入ylabel('speed(m/s)'),在Y轴同样输出speed(m/s)字样。改变' '内的字符串,就可以改变坐标下的名字。在命令窗口输入axis([xmin xmax ymin ymax]),就可以限定输出波形的上下界。输入set(gca,'xtick',[a b c d....])可以重新标定坐标刻度,其中“a b c d...”就是重新标定后的刻度值。grid on,grid off 命令可以打开和关闭网格。经过这些命令一处理,就可以得到非常满意的输出图形了。怎么在plot命令中显示多条曲线呢,即在一组参数下仿真图像是1,当我用另外一组参数仿真得到仿真图像2.怎么样才能把这两个图像放在一个图中呢。通过查询我得到了一个简单的办法,即,仿真1结束后,plot(y1)然后输入 hold on 命令 然后再plot(y2)当然y1和y2是把示波器的变量重新定义了以后。也可输入相同的plot(y)只要两条曲线不同。方法2: 设你的波形变量保存为y1,...y5, plot([y1;y2;y3;y4;y5])把五条曲线画到一个图上.注意to workspace中保存类型是array.方法3:如果你要在一个坐标轴内显示几个曲线,那么就在用一个mux,把速度和转矩合并一个变量,然后to workspace,然后用plot画可以显示出跟示波器一样的一个图形2个曲线。我主要用方法1,简单明了。示波器图形保存方法: 方法1,用Print Screen Sys Rq 抓图,复制到画图版,再将图形剪到word中; 方法简单但效果不好,对于数据线多的颜色糟糕 方法2,改变仿真参数,选择save....下的参数,将仿真得到结存波形 存至 workspace 只要构建变量,在工作窗口输入以下命令:

t=ScopeData.time;y=ScopeData.signals(:,1).values;%多个窗口的多个信号的第i

个窗口

plot(t,y,'');% 多窗口推荐

subplot MATLAB中用plot命令画出示波器的图形总结 这两天碰到一个问题是关于用MATLAB命令把示波器图形画出,经过努力总算得到解决。看到网上有的同行问怎么改示波器的背景,把示波器波形复制到Word中,我有两种方法,第一种是我一个同学告诉我的,通过命令对示波器进行操作。具体如下

shh = get(0,'ShowHiddenHandles');set(0,'ShowHiddenHandles','On')set(gcf,'menubar','figure')set(gcf,'CloseRequestFcn','closereq')set(gcf,'DefaultLineClipping','Off')set(0,'ShowHiddenHandles',shh)输入以上命令可以直接对示波器进行修改,包括背景和曲线颜色 第二种方法我以前总结过,现在详细说明一下 用MATLAB命令将simulink示波器的图形画出 第一步,将你的示波器的输出曲线以矩阵形式映射到MATLAB的工作空间内。如图1所示,双击示波器后选择parameters目录下的Data history,将Save data to workspace勾上,Format选择Array,Variable name即你输入至工作空间的矩阵名称,这里我取名aa。在这之后运行一次仿真,那么你就可以在MATLAB的工作空间里看到你示波器输出曲线的矩阵aa。如图2所示。

第二步,用

plot函数画出曲线 双击曲线矩阵aa,将可以看到详细情况,我这里的aa矩阵是一个1034行,3列的矩阵,观察这个矩阵即可以发现,这个矩阵的第一列是仿真时间,而由于我仿真时示波器内输出的是两条曲线,所以第二列和第三列即分别代表了这2条曲线。同时大家要注意,在simulink中我们有时往往在示波器中混合输出曲线,那么就要在示波器前加一个MUX混合模块,因此示波器内曲线映射到的工作空间的矩阵是和你的MUX的输入端数有关,如果你设置了3个MUX输入端,而实际上你只使用了2个,那么曲线矩阵仍然会有4列,并且其中一列是零,而不是3列。理解曲线矩阵的原理之后,我们就可以用plot函数画出示波器中显示的图形了。

curve=plot(aa(:,1),aa(:,2),aa(:,1),aa(:,3),'--r')%aa(:,1)表示取aa的第一列,仿真时间 %aa(:,2)表示取aa的第二列,示波器的输入一 %aa(:,3)表示取aa的第三列,示波器的输入二 %--r表示曲线2显示的形式和颜色,这里是(red)set(curve(1),'linewidth',3)

%设置曲线1的粗细 set(curve(2),'linewidth',3)

%设置曲线2的粗细 legend('Fuzzy','PID','Location','NorthEastOutside')%曲线名称标注 xlabel('仿真时间(s)')

%X坐标轴名称标注 ylabel('幅值')%Y轴坐标轴标注 title('Fuzzy Control VS PID')%所画图的名称 grid on %添加网格 运行上述命令后即可以看到用MATLAB命令画出的图形了,你可以在图形出来之后继续进行编辑。

将不同示波器中的曲线画在一张图上 如何将不同示波器中的曲线画在一张图上,很简单,如下命令解释 curve=plot(f1(:,1),f1(:,2),FP(:,1),FP(:,2),'r',FP(:,1),FP(:,3),'k')%f1为即示波器1输出的曲线矩阵f1,FP为示波器2输出的曲线矩阵FP 同一示波器内的仿真时间和曲线要相一致,所以f1(:,1),f1(:,2)放一起,FP(:,1),FP(:,2)放一起,不能出现f1(:,1),FP(:,2)的情况

不只是eps图形,在word中最好的是emf和wmf等,这个有人分析对比过。word中用eps,视觉上会不清楚,但是转换为pdf文档后就很清楚了。eps图形主要是被LaTeX排版系统直接利用生成pdf,出来的图也是比较清楚。我们通常知道的所见即所得的画图软件,例如matlab,origin,visio,smartdraw,coraldraw,得到的图形都可以输出(另存)为矢量图形的,最好别选择jpg,更不要选择bmp。simlink仿真波形的输出与绘制(含实例,适合新手)在做simlink仿真的过程中,一般都是用示波器看信号的波形。但是很多时候是需要波形输出,我们知道示波器里的波形背景是黑的,而且线型线宽以及加标注都十分困难。下面分享一下我的经验,欢迎高手拍砖!我所知道的信号输出到工作环境的方法有2种:(1)通过out模块:我一直使用的,也是我比较熟悉的方法。个人觉得比workspace好用多了(2)通过To Workspace模块:只是知道可以,不过没用过。刚才试了一下发现输出的数据是一个结构体,虽然可以修改save famat让它也输出矩阵,但是我始终没有找到仿真系统的时间变量在哪儿输出。因此绘图就会遇到麻烦(这一点烦请用过的高人,指点一二)用了out模块后,在模型运行完毕后。数据会自动输出到工作环境:时间默认的是tout(1维向量),信号数据默认的是yout(可能是一维向量,也可能是个矩阵)。事实证明当把workspace的save format选为Array的时候,yout==simout。在这里输出的参数名字都用默认的,out模块输出的参数名字可以在Simulation-->Configuration Parameters-->Data Import/Export 里边进行修改;To Workspace模块双击就可以修改了。(1)绘制的一些技巧。

在附带的模型里我们用mux模块将3个信号混合到一起。模型运行完毕后就可以在工作空间绘图了,可以绘制其中的任一信号,也可以同时绘制,还可以根据需要设置线型和颜色。

复制内容到剪贴板 代码: clear,clc;sim('example.mdl');%要先把附件的example.mdl

存到

work的目录里

subplot(311),plot(tout,yout);legend('输入信号','控制输出','基准信号',...'Location','NorthEastOutside')title('所有信号绘制到一起')

subplot(312),plot(tout,yout(:,2),'linewidth',2.5,...'color',[1 0 0])

title('

')subplot(313),stem(tout(1:20:end),yout(1:20:end,:),'fill','-');title('离散取点绘图')总之呢,取数据的技巧掌握了,想怎么绘制就怎么绘制了。在标注和取信号的时候要注意yout的列对应mux模块的各个输入,第一列对应最上边的输入,一次类推、、、mux有多少个输入信号yout就有多少列,而列的长度和仿真时间设置以及数据输出点数有关。(2)绘制出了漂亮的图,如何贴到word里的问题。

这个问题也是以前讨论较多的问题,因为通过抓屏或者抓图工具弄的图贴到word里都会出现变形的问题。调整大小就更容易出现了,这是因为抓的图默认存的jpg或者bmp都是位图。而矢量图拉伸不会影响清晰度,这点在这个帖子里有讨论,如何Word中的粘贴的图片更清晰。这里就说说如何把绘制的图形存为矢量图,其实很简单,就一个saveas指令。记住在word里要用“插入-->图片-->来自文件”的方式。

复制内容到剪贴板

代码:

figure(2);stem(tout(1:20:end),yout(1:20:end,:),'fill','-');saveas(gcf,'myaxes','emf')欢迎讨论并提宝贵意见!

m=[0.5:0.25:2];T=[86 87.4 89 90.2 91.3 92.6 93.8];V=-(T-308)./82.3;plot(m,V)grid on set(gca,'xtick',[0.5 0.75 1 1.25 1.5 1.75 2])xlabel('盐密/g')ylabel('辐射计输出直流电压/V')title('辐射计输出直流电压和盐密之间的关系')%以下程序可以改变坐标轴显示的数值

%day={'mon' 'tue' 'wen' 'thi' 'fri' 'sat' 'sun'};%set(gca,'xticklabel',day)% 【 例 10.7.2-1 】本例演示: axes 轴位框设计、rectangle 的运用、及轴外注释。所谓轴外注释,% 实际上是使用了两个轴位框。一个轴位框充满全部图形窗,其坐标框被隐去,而只写注释文字。而另一个比较小的轴位框用于绘图。% 这样从外表看去,注释就处于那小轴位框的外部。

clear,clc;zeta2=[0.2 0.4 0.6 0.8 1.0];n=length(zeta2);for k=1:n;Num{k,1}=1;Den{k,1}=[1 2*zeta2(k)1];end S=tf(Num,Den);% 产生单输入多输出系统 t=(0:0.4:20)';% 时间采样点

[Y,x]=step(S,t);% 单输入多输出系统的响应 tt=t*ones(size(zeta2));% 为画彩带图,生成与函数值 Y 维数相同的时间矩阵。

% 产生全窗轴位框,并隐去坐标轴

clf reset,H=axes('Position', [0, 0, 1, 1], 'Visible', 'off');% 产生包含多行字符串的元胞数组 str{1}='fontname{ 隶书 } 二阶系统阶跃响应 ';%<11> str{2}='y(t)= 1zeta^{2})^{0.5}';str{6}='theta = arctg(beta/zeta)';str{7}='zeta =.2,.4,.6,.8, 1';%<15>

% 使 H 句柄轴对象成为当前轴,然后注释多行文字。

set(gcf, 'CurrentAxes',H)%<18> text(0.01, 0.73, str, 'FontSize', 12)%<19> h1=axes('Position', [0.45, 0.45, 0.5, 0.5]);% 产生右半窗的轴位框 ribbon(tt,Y,0.4)% 在 h1 轴位框中画彩带图 % 对 X 轴、Z 轴重标刻

set(h1,'XTickLabelMode','manual','XTickLabel','0|0.4|0.8|1.2');%< 23> set(h1,'ZTickLabel','0|1.0|2.0');%<24> % 低层指令标识轴名 set(get(h1,'XLabel'),'String','zeta set(get(h1,'YLabel'),'String','leftarrow

rightarrow','Rotation',17.5)t','Rotation',-25)

%<27> set(get(h1,'Zlabel'),'String','y rightarrow')h2=axes('Position',[0.03, 0.08, 0.27, 0.27]);% 在左下角,产生小的轴位框。plot(tt,Y)% 在 h2 轴对象上画二维图

% 在右下方画系统方块框图 h3=axes('Position',[0.37,0.04,0.63,0.32]);% 设置画框图的轴位框 set(h3,'Xlim',[0,1.2],'Ylim',[0,0.5])% 设置轴的刻度范围 set(h3,'DataAspectRatio',[1 1 1])% 设置刻度比例 set(h3,'ColorOrder',[0,0,0])% 设置绘线的首选用色 set(h3,'Visible','off')

%

hh1=rectangle('Position',[0.5,0.2,0.4,0.2],'Curvature',[0,0]);% 画方框

<37> hh2=rectangle('Position',[0.2,0.26,0.08,0.08],'Curvature',[1,1]);

% 画圆框 <38>

xx1=0.05:0.01:0.2;xx2=0.28:0.02:0.5;xx3=0.9:0.02:1.1;xx4=0.24:0.02:1;yy5=0.1:0.02:0.26;yy6=0.1:0.02:0.3;yy1=0.3*ones(size(xx1));yy2=0.3*ones(size(xx2));yy3=0.3*ones(size(xx3));yy4=0.1*ones(size(xx4));xx5=0.24*ones(size(yy5));xx6=ones(size(yy6));line(xx1,yy1);line(xx2,yy2);line(xx3,yy3);line(xx4,yy4);line(xx5,yy5);line(xx6,yy6)line(0.17,0.3,'Marker','>','MarkerFaceColor','k')line(0.47,0.3,'Marker','>','MarkerFaceColor','k')line(1.1,0.3,'Marker','>','MarkerFaceColor','k')line(0.24,0.23,'Marker','^','MarkerFaceColor','k')line(0.17,0.35,'Marker','+')text(0.27,0.23,'-')text(0.05,0.35,'u(t)')text(1,0.35,'y(t)')

text(0.6,0.26,'s{^2} + 2{zeta}s');xx7=0.56:0.02:0.84;yy7=0.3*ones(size(xx7));line(xx7,yy7)text(0.68,0.35,'1')

下载关于PLL核建立仿真总结word格式文档
下载关于PLL核建立仿真总结.doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    仿真培训总结

    仿真培训总结 今天是我第一次学习**电厂仿真机系统,我先从冷态启动开始学习。首先是投入水系统,依次投入循环水,开式水,凝输水,汽包上水,除氧器上水,凝汽器上水,凝结水,闭式水,定冷水......

    仿真培训基地总结

    ××发电厂仿真培训基地总结 ××××年将要过去,回顾仿真机一年的培训情况,在各级领导的指导下我们做了大量的工作,取得了一定的成果,简要总结如下: 一、对内培训:加强对内培训,夯......

    仿真教学总结

    仿真教学工作总结 大同电力高级技工学校 韩云 2010年10月 仿真教学工作总结 大同电力高级技工学校 韩云 我于2006年被评为仿真高级指导教师后,主要从事仿真专业课教学,四多......

    运动仿真总结

    一. 用于变形物体或特殊轨迹运动的物体 1.做关联动画时,注意“会变形的零件”要在“装配体中”(插入新零件)建模得到,好让新零件与其他零件相应部位关联,从而使改变这些原有零件......

    仿真培训总结

    仿真培训总结 2011年7月25日至29日,由中国化工教育协会与北京东方仿真软件技术有限公司联合举办的2011年“假期仿真软件培训班”在北京电子科技职业学院举行,共有来自全国十几......

    外贸仿真实验总结

    通过上机实际操作,比较深刻的了解到外贸实务当中的一些工作。通过仿真的实际操作,较为熟悉的掌握了外贸出口的部分技能,以下是对这一学期上课内容的小结: 一、达成交易: 1.与客户......

    第七届仿真招聘会总结

    第七届仿真招聘会总结 一.前期准备 1.赞助 对于赞助方面,我们本次的一个错误决定就是过分依赖过来人这一家公司,导致后期没有足够的时间去寻找其他的赞助商。下一次的活动,一定要......

    Modelsim 仿真方法总结

    Modelsim 仿真方法总结 Modeling 仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真。Modelsim各版本的使用方法大体一致,Modelsim仿真主要分为前仿真和后......