专题:vhdl体会

  • VHDL体会

    时间:2019-05-12 13:08:52 作者:会员上传

    学习心得 ——《eda技术实用教程》本学期对《eda技术实用教程--vhdl版》的学习为我的专业知识学习打开了一个全新的窗口——微电子技术领域。对eda技术,我更是有了全新的认

  • VHDL实验报告

    时间:2019-05-14 03:22:03 作者:会员上传

    《创新实验》实验报告 —基于VHDL的编程和硬件实现 一、实验目的 1. 2. 3. 4. 熟悉和掌握硬件描述语言VHDL的基本语法及编写; 掌握软件Xilinx ISE 10.1的使用; 熟悉SDZ-6电子

  • VHDL简答题总结

    时间:2019-05-12 05:34:50 作者:会员上传

    1 数据BIT与STD_LOGIC的区别? Bit只是一个逻辑型变量,只能存在“0”和“1”,不存在不定状态和高阻态 STD_LOGIC存在U初始值,X不定,0,1,Z高阻 W弱信号不定,L弱信号1,H弱信号0,-不可能

  • VHDL课程设计报告 频率计

    时间:2019-05-14 02:04:32 作者:会员上传

    目录 1. 前言........................................................................................................................... 2 2. 设计要求...........

  • VHDL 编程的一些心得体会

    时间:2019-05-15 13:19:09 作者:会员上传

    VHDL 编程的一些心得体会(转)

    VHDL 是由美国国防部为描述电子电路所开发的一种语言,其全称为(Very High Speed Integrated Circuit) Hardware Description Language。 与另

  • VHDL八位数码管频率计课程设计

    时间:2019-05-14 02:04:33 作者:会员上传

    一、课程设计要求 设计一个8位数码管显示的频率计(频率分辨率为1Hz)。 二、总体结构框图 系统时钟分频及控制待测频率计数数据锁存动态扫描数码管段选数码管位选数码管显示

  • 《VHDL与可编程逻辑设计》教学大纲2011

    时间:2019-05-12 20:56:48 作者:会员上传

    《VHDL与可编程逻辑设计》教学大纲 一、 总学时:36(理论学时:20 实验学时:16)学分:2.0 二、 教学目的: 现代电子设计技术的核心是EDA(Electronic Design Automation)技术,而EDA的技

  • VHDL语言EDA四人抢答器

    时间:2019-05-12 12:16:17 作者:会员上传

    一.EDA技术简介 在计算机技术的推动下,20世纪末,电子技术获得了飞速的发展,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使

  • VHDL实现数字钟课设报告[推荐]

    时间:2019-05-13 23:58:02 作者:会员上传

    东北大学信息学院 课程设计报告 课程设计题目:用VHDL语言实现数字钟的设计 班 级:电子1001班 学 号:20102594 姓 名:刘云飞 指导老师:李世平、李宁设计时间:2012年12月1 东北大

  • 基于VHDL的多功能数字钟设计报告

    时间:2019-05-12 07:07:03 作者:会员上传

    基于VHDL的多功能数字钟 设计报告 021215班 卫时章 02121451 一、设计要求 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒。

  • VHDL 编程的一些心得体会(共五则)

    时间:2019-05-12 15:29:55 作者:会员上传

    VHDL 编程的一些心得体会(转) http://www.dzjs.net/html/EDAjishu/2007/0131/1504.html VHDL 是由美国国防部为描述电子电路所开发的一种语言,其全称为(Very High Speed In

  • VHDL实验四函数信号发生器设计.

    时间:2019-05-14 04:21:58 作者:会员上传

    VHDL实验四:函数信号发生器设计 设计要求:设计一个函数信号发生器,能产生方波,三角波,正弦波,阶梯波。 设计概述:信号的输出实质上是指电压幅度随时间的变化。根据这个原理

  • 基于VHDL语言的简易洗衣机控制器_图文.

    时间:2019-05-12 04:05:52 作者:会员上传

    电子课程设计 ——简易洗衣机控制器设计 学院: 班级: 姓名: 学号: 指导老师: 2013年12月 目录 第一部分:设计任务与要求 (1 第二部分:总体框图 (1 第三部分:选择器件 (2 第

  • 2012数字系统设计与VHDL课程大作业(定稿)

    时间:2019-05-12 13:26:50 作者:会员上传

    数字系统设计与VHDL课程大作业
    具体要求
    一、 总体要求:
    1. 本课程为专业必选考查类课程,以大作业加平时成绩的形式给定最终成
    绩。
    2. 每班六个题目,每4-5为一组,自由组合,分工合

  • VHDL数字电路设计教程第六章习题答案

    时间:2019-05-12 17:36:51 作者:会员上传

    P6.1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity chp6_1 is port(clk:in std_logic; d_out:out std_logic_vector(5 downto 0)

  • 基于VHDL编程FPGA的地铁自动售票机

    时间:2019-05-12 12:53:31 作者:会员上传

    地铁自动售票机 一、设计要求 1、功能描述 用于模仿地铁售票自动售票,完成地铁售票的核心控制功能。 2、功能要求 售票机有两个进币孔,一个是输入硬币,识别的范围是一元硬币;一

  • 数字电路课程设计——用VHDL语言设计交通灯(五篇)

    时间:2019-05-14 03:33:36 作者:会员上传

    数字电路课程设计报告 院系: 电气信息工程学院 班级: 08测控2班 学号: 08314237 姓名: 董 亮 合作: 虞 波 指导教师: 翟 丽 芳 2010年12月10日 第 0 页 共 17 页 目 录 引言··

  • 用VHDL语言编写的数字钟程序5篇

    时间:2019-05-12 07:07:02 作者:会员上传

    永州职业技术学院 课 程 设 计 课程名称: EDA技术实用教程 题 目:基于FPGA的数字钟设计 系、专业: 电子技术系应用电子 年级、班级: 07级电子大专 学生姓名: 冯 苗 指导老师: 龙