《数字逻辑系统设计》教学大纲(电信专)(共五则范文)

时间:2019-05-12 20:56:48下载本文作者:会员上传
简介:写写帮文库小编为你整理了多篇相关的《《数字逻辑系统设计》教学大纲(电信专)》,但愿对你工作学习有帮助,当然你在写写帮文库还可以找到更多《《数字逻辑系统设计》教学大纲(电信专)》。

第一篇:《数字逻辑系统设计》教学大纲(电信专)

电子信息工程技术专业

《数字逻辑系统设计实习》教学大纲

一、课程信息:

课程代码: 11243011 课程中文名称:数字逻辑系统设计

课程英文名称: Digital Logic System Design 时间安排(学期/学年):(5/3)

学时学分: 学时:一周 学分:1

二、实习教学的目的:

本实习为综合性、设计性实习,目的是加强学生的实践技能,提高学生综合分析问题,解决问题的能力。

三、实习教学的基本要求:

1、加深对数字逻辑系统设计、数字电子技术课程所学理论的认识和理解,分析课题的要求,明确课题的内容。

2、根据系统划分,正确写出VHDL源程序并仿真验证,最后下载到实验箱上进行验

3、掌握课题电路的作用原理及主要电路的设计方法,掌握课题中各关键部件的描述方法

四、实习教学的内容:

设计一 数字式竞赛抢答器

1、设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。

5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10分,答错一次减10分。教学提示: 此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10分,所以个位始终为零,只要十位、百位进行加/减运算即可。设计二 数字钟

1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、熟练掌握各种计数器的使用。

3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、能用低位的进位输出构成高位的计数脉冲。教学提示:

1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。设计三 数字频率计

1、设计一个能测量方波信号的频率的频率计。

2、测量的频率范围是0999999Hz。

3、结果用十进制数显示。教学提示:

1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。

2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。

3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。

4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。

5、f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

6、当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。

7、改变量程时,小数点能自动移位。设计四 拔河游戏机

1、设计一个能进行拔河游戏的电路。

2、电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

3、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

4、用数码管显示获胜者的盘数。教学提示:

1、按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮。

3、当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。

5、将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示。

6、设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五 乒乓球比赛游戏机

1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。教学提示:

1、用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由击球者通过按钮输入开关信号,实现移位方向的控制。

2、也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反方向移动,即失去1分。

4、控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。设计六 交通信号灯控制器

1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

3、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

4、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。教学提示:

1、主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、选择1HZ时钟脉冲作为系统时钟。3、45秒、25秒、5秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。

4、主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B,;45秒、25秒、5秒定时信号(C,D,E),其输出状态控制相应的三色灯。主控电路可以由两个JK触发器和逻辑门构成,其输出经译码后,控制主干道三色灯R、G、Y和支干道三色灯r、g、y。设计七 电子密码锁

1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;

3、从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。教学提示:

1、该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比较的方式,若两者相等,则形成开锁信号。

2、在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信号点亮LED指示灯;

3、用按钮开关的第一个动作信号触发一个5秒定时器,若5秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动LED不断闪烁。设计八 彩灯控制器

1、设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。教学提示:

1、彩灯显示的不同形式可由不同进制计数器驱动LED显示完成;

2、音响由选择不同频率CP脉冲驱动扬声器形成。设计九 脉冲按键电话显示器

1、设计一个具有8位显示的电话按键显示器;

2、能准确地反映按键数字;

3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、挂机2秒后或按熄灭按键,熄灭显示器显示。教学提示:

1、利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、设置一个计数器记录按键次数,从而实现数字显示的移位。设计十 简易电子琴

1、设计一个简易电子琴;

2、利用实验箱的脉冲源产生1,2,3。。共7个或14个音阶信号;

3、用指示灯显示节拍;

4、能产生颤音效果。教学提示:

1、各音阶信号由脉冲源经分频得到。设计十一 出租车自动计费器

1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元;

2、行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)均能通过人工输入。

3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1.0元/公里,则脉冲当量为0.01元/脉冲。

4、用LED显示行驶公里数,两个数码管显示收费金额。教学提示:

1、等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如100个脉冲表示10分钟,而10分钟收费0。5元,则脉冲当量为0。05元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0。01元/脉冲),则10分钟内的脉冲数应为500个。

2、用LED显示等候时间,两个数码管表示等候时间收费金额。

3、用加法器将几项收费相加,P=P1+P2+P3,P1为起价,P2为行车里程计费,P3为等候时间计费,用两个数码管表示结果。设计十二 洗衣机控制器

1、设计一个电子定时器,控制洗衣机作如下运转:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止;

若定时到,则停机发出音响信号;

2、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;

3、、三只LED灯表示“正转”、“反转”、“暂停”三个状态。

教学提示:

1、设计20秒、10秒定时电路。

2、电路输出为“正转”、“反转”、“暂停”三个状态。

3、按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。

五、实习教学的方式:

1、原理要求讲解

2、收集资料做方案

3、编写VHDL源程序及仿真

4、下载验证

5、写出实习报告

六、实习地点选择原则:

1、原理要求讲解、写出实习报告可选择在教室或实验室

2、收集资料做方案可选择在图书馆或教室

3、编写VHDL源程序及仿真、下载验证选择在实验室

七、实习教学的考核与成绩评定:

考核内容主要:

1、选择课题的完成情况

2、在实习中的政治思想表现、学习态度和组织纪律性

3、实习报告的质量。

成绩评定:

1、课题完成情况占50%(按照所选课题的要求对完成功能进行逐项评分)。

2、平时成绩占20%

3、实习报告占30%

八、实习教学的教材及主要参考书:

1.《电子设计自动化(EDA)技术实验教程》 王祖强 主编 山东大学出版社,2005年 2.《VHDL硬件描述语言实验指导书》(校内自编教材),电子工程学院

3.《VHDL数字电路设计与应用实践教程》,王振红 主编,机械工业出版社,2003年

执笔人:张友木 审核人:吴德会

审定:电子工程学院

第二篇:专选数字插画课程教学大纲(2015)

艺术学院数字插画课程教学大纲

一、课程基本信息

课程名称: 数字插画

课程代码:ZX4049 课程类别: 专业选修课

分:2 学

时: 36

理论学时:36

实验实践学时: 面向对象:本科 先修课程:素描 色彩

(要求:课程名称与课程代码必须与课程信息手册完全一致。课程类别根据情况填入通识必修课程、通识选修课程、专业基础课程、专业必修课程、专业选修课程、实验与实践课程)

二、课程教学目的与要求

本课程是面向动画、动游类专业的专业必修课程,主要讲授数字插画方面的知识,传授利用数位板和photoshop、painter等软件进行数字绘画的技能。本课程是素描、色彩的后续课程,为游戏角色设计等课程学习打下基础。(要求:通识课或基础课主要表述清楚该课程在人才培养过程中的地位和作用)

在学习本课程后, 要求学生能够具备以下知识和技能: 本课程介绍了数字插画,商业插画产业, 现状, 前景, 创作方法, 创作技巧, 绘画理论, 实战案例, 等等相关知识;让学生能够广泛的理解数字插画作品的市场地位,和传统插画的相同和区别点, 了解国内外插画名家, 掌握数字插画绘画理论指示和实战技法.要求学生学习完本课程后能从理论上了解掌握数字插画知识技能,并能从实践和实际操作的角度来独立完成工业标准的数字插画作品。

三、课程考核要求

1、课程以在规定时间内提交一组完整数字插画作品为形式。

2、在教学过程中,会进行两次中期作品考核,作为平时成绩的重要指标。

3、过程考核包括平时出勤情况,课堂练习、中期考核。考核以作品的完成情况来评判。

4、结业考试以提交绘画作品为形式,对作品的完成情况进行评价。

5、平时成绩占40%,结业考核占60%。

四、课程教学基本内容、学时分配和教学环节安排

《数字插画》学时分配

内容

理论学时

实验(实践)学时 第一章 概述数字插画

第二章 数字插画布光技术

第三章 构图和焦点控制

第四章 分解作画过程

第五章 插画中的设计元素

第六章 数字插画对传统插画的继承

第七章 数字插画中的漫画

第八章 数字插画在影视游戏中的应用、随堂考试

………………

合计

第一章

概述

本章教学目的与要求:

了解CG插画产业,软件,硬件,插画师的事业生涯。卡通女孩的绘制技法让学生了解CG插画产业,软件,硬件,插画师的事业生涯。掌握卡通女孩的绘制技法

本章教学重点:卡通女孩的绘制技法,了解CG插画产业,插画师的事业生涯,ps绘画工具

本章教学难点:卡通女孩的绘制技法 第一节

CG和商业插画 第二节

插画师

第三节 CG插画的主流类型和绘画风格 第四节 色彩基础知识 第五节 CG插画创作硬件设备 第六节 Photoshop 绘画技术指导 实践:

《卡通女孩》的绘制

学生利用所学的知识,所演示的过程,临摹作品《卡通女孩》,或者自由进行同类作品的创作,来熟练所学到的技法。

第二章 布光技术

本章教学目的与要求:了解布光技术, ps图层的使用。范例《水彩之美》的绘制技法,让学生了解布光技术,明暗值和色度值的区分,懂得明暗值对布光的重要性,掌握ps图层技术,懂得对一个场景进行布光.本章教学重点:布光技术,ps图层技术

本章教学难点:布光技术的理解和实际运用 第一节

布光技术 第二节

明暗值

第三节 使用明暗值划分外形和空间 第四节 反弹 第五节 大气透视 第六节 冷暖 第七节 最终整合

第八节 photoshop图层技术介绍

实例介绍

范例介绍《水彩之美》,绘画过程展示,数字插画视频, 欣赏.创作小结

第三章 构图和焦点控制

本章教学目的与要求:了解布局、构图、和从焦距等综合角度控制画面整体,能学会运用布局原理,构图知识,焦距调整技巧,控制画面。本章教学重点:布局,构图,焦距

本章教学难点:布局,构图,焦距的实际理解和运用 第一节

布局

第二节

黄金比例和三分法则 第三节 隐含格式

第四节 动感布局,引导视线 第五节 进入焦距全面调整

第六节 Photoshop技术指导,选取和编辑的技法 名家介绍 Chris Beatrice Chris是居住在美国马萨诸塞州波士顿的插画艺术家。生命中的有一半实践是作为专业画家度过的。大约15年前他进入了游戏业工作,先是作为艺术家,之后是艺术总监,然后是游戏设计师,在2001年他建立了自己的游戏工作室,名字叫倾斜的密尔娱乐公司(Tilted Mill Entertainment).作品风格 :

写实技法,题材则多为青年向童话。

实践部分

1、复习本次课程中讲述的有关构图、布局、焦距的概念,任意选择一个喜欢的童话故事题材,绘制一张插图。注重前期布局搭建,整体把控,层层深入的原则.2、播放数字插画作画过程视频。

第四章 分解绘画过程

本章教学目的与要求:通过国外知名画家作品的步骤解析,了解大师创作思路和具体技法,学会运用到自己的绘画创作中。理解,掌握并运用大师技法 本章教学重点:理解并运用Chris Beatrice的通用绘画过程 本章教学难点:理解并运用Chris Beatrice的通用绘画过程 第一节

《驴皮》过程解析

第二节

《穿铁靴子的女孩》过程解析 第三节 《Giant Killer》 过程解析 第四节 《The Lute Player》过程解析 第五节 Chris Beatrice绘画技巧总结 第六节 《Umbrella Sky》过程解析 实践部分

复习本次课程中相关内容,以维京人为关键词,绘制一张插图。

2、播放数字插画作画过程视频。

第五章 插画中的设计元素

本章教学目的与要求:了解参考资料的搜集方法,记忆方法,资料库的建立方法,了解如何素材积累,理解人体结构的正确学习和练习方法, 历史上著名插画家作品鉴赏学习。理解并运用设计方法在插画中

本章教学重点:资料的搜集和积累,人体结构的学习和练习方法 本章教学难点:正确理解人体结构的学习和练习方法 第一节

收集参考资料 第二节

参考资料的记忆 第三节 实现你的创意

第四节 如何看待和学习人体结构

第五节 漫画家矩阵作品全过程影象欣赏 实践部分

1.欣赏插画家兼漫画家 矩阵 的绘画过程视频.2.学习并运用插画师矩阵的技法进行创作实践.第六章 插画大师之旅

本章教学目的与要求:让学生了解世界范围内,各个时代著名的插图插画大师和他们的作品特点

要求学生能够了解世界范围内,各个时代著名的插图插画大师和他们的作品特点 本章教学重点:各个时代著名的插图插画大师的作品特点 本章教学难点:各个时代著名的插图插画大师的作品特点

第一节 Arthur Rackham--留住维多利亚时代的梦幻(亚瑟·拉克汉)第二节 埃德蒙·杜拉克(Edmund Dulac)第三节 诺尔曼·罗克威尔(Norman Rockwell)第四节 莱恩·史密斯(Lane Smith)

实践部分

根据给定的故事,综合应用前面讲到的理论和技巧进行彩色插画创作.第七章 欧美漫画大师

教学目的:了解欧美漫画大师的个人经历,代表作品和作品风格特点,欧洲和美国漫画作品在各个方面的差别

教学要求:要求学生能够了解欧美漫画大师的个人经历,代表作品和作品风格特点,欧洲和美国漫画作品在各个方面的差别

教学重点:欧美漫画大师的个人经历,代表作品和作品风格特点

教学难点:欧洲和美国漫画作品在各个方面的差别 第一节 Frank Frazetta美国的插图之神 第二节 法国国宝级漫画家墨比乌斯 第三节 欧洲漫画艺术大师唐 劳伦斯 第四节 Rechard Corben理查德考本

第五节 Jim Lee欧美漫界出位的亚洲脸孔

实践环节: 根据给定的故事绘制彩色插画.第八章 顶尖CG艺术家和超写实注意事项

本章教学目的:了解当今炙手可热的数字艺术家个人经历,代表作品和作品风格特点, 掌握记忆并懂得运用CG超写实注意事项

本章教学要求:要求学生能够了解欧美漫画大师的个人经历,代表作品和作品风格特点,欧洲和美国漫画作品在各个方面的差别 掌握记忆并懂得运用CG超写实注意事项

本章教学重点:数字艺术大师的个人经历,代表作品和作品风格特点,超写实注意事项的记忆理解

本章教学难点:代表作品和作品风格特点,超写实注意事项的应用 第一节 Craig MullinsCG艺术之国的帝王 第二节 Ryan Church概念设计行业顶级悍将 第三节 Feng Zhu少年成名的华裔顶级设计师 第四节 超写实注意事项 真实的调子

对象的轮廓 空间感的营造

色相和饱和度的关系 拾色工具 案例演示 实践环节: 根据课堂讲述的写实注意事项,理解并运用在作品中,尝试绘制超写实风格作品一幅

第九章 随堂考试

五、课程学习指导与修读建议

(要求:说明本课程在教学内容、方法、手段等方面的改革情况;对学生学习方法的指导和修读建议等,200-300字)

数字插画是一门以数字艺术为表现形式,使用各种数字、信息技术制作各种形式的有独立审美价值的插画作品的课程。本课程的教学目的和基本要求是:通过本课程的学习,培养学生数字插画审美能力、设计能力,以最流行的符号去宣扬商品特性的同时也宣扬插图自身的艺术价值。要求学生了解数字插画的概念、功能、作用、审美特征、分类、应用、表现手法等相关专业知识,熟练掌握各种技法表现手段,在形式上推陈出新,创作出具主题性的插画作品,表现鲜明的个性,体现创意新思维,使学生在实践过程中理解插画视觉艺术和传播媒体的关系,展示出数字插画独特的艺术魅力。

数字插画是一门理论与实践相结合的实践性很强的学科,所以,在《数字插画》的学习中,应注意以下几点:

1、通过理论教学,全面地向学生讲授数字插画基础规律知识。

2、通过逻辑分析,使学生加强插画与创意的关系,创作具主题性高度审美的数字作品。3、通过系统作业练习,使学生能把握数字插画的多种表现形式,加强技法表现能力,提高创意设计思维。

六、推荐教材与阅读书目

1.《CG插画创作》,孙立军编著,北京联合出版公司,2014年 2.《CG插画技法解析》,种川,中国水利水电出版社,2013年

3.《实战Painter 9绘画技法》,(韩)石正贤等编著,人民邮电出版社,2013年版 4.《插图创意设计手册》(日)视觉设计研究所编著,中国青年出版社,2013年版 5.《英国儿童读物插画完全教程》(英)马丁•萨利斯伯瑞编著,上海人民美术出版社,2013年版

6.《The Painter IX Wow!Book》[美]Cher Threinen-Pendarvis编著,中国青年出版社,2013年版

第三篇:数字内容出版物设计教学大纲

《数字内容出版物设计》教学大纲

I.课程性质

设计师在出版物的版式设计过程中需要解决页面设置与管理、图文编排、输出以及电子出版物的设计等工作。InDesign 软件可以轻松的和Photoshop、Illustrator、GoLive等软件进行设计配合,既可以满足类似于普通书籍的教学需要,也可以满足类似与杂志、电子出版物等高端设计要求,使制作变为简单。设计师轻松掌握InDesign 软件的基本应用功能后,可以将大量的时间用于设计创作当中。

本教程的目的是从设计的角度向学员介绍了出版物理论知识、InDesign 软件使用、宣传画册设计制作和书籍设计制作的方法和过程。通过学习本书,学习者将会系统地了解和掌握版式设计的理论知识和操作技能,为从事设计工作打下良好的基础。Ⅱ.教程的目的和任务

“InDesign出版物设计培训教程”的培训目的是:

1.了解版式设计基本原理。

2.了解出版物设计的基本流程。

3.InDesign软件的基本操作。

4.了解出版物设计在印刷过程中的输出环节。

5.简单了解电子出版物。Ⅲ.学时安排

本课程共包含6部分内容,第1部分为基础知识概述,出版物设计的基础;第2部分为设计流程部分,需要掌握出版物设计的基本流程;第3-4部分是软件部分,解决InDesign软件的基本操作;第5-6部分是实例讲解分析部分则需要读者掌握出版物设计及印刷的项目过程,同时可以结合自己的实际项目进行设计。

本课程供授课72学时,其中1/3学时供上机操作,达到理论与实践相结合的目的。以下各章学时安排仅供参考。

第1部分 8 学时

第2部分 8 学时

第3部分 16 学时

第4部分 16 学时

第5部分 12 学时

第6部分 12 学时

Ⅳ.考核目标与考核要求 第1部分 出版物设计基础知识

1.了解出版物的发展历程

2.了解出版物的类别与特点

3.了解出版物的各种装订方式的特点

4.了解版式设计的基本要素

5.掌握一定的色彩原理

6.掌握网格在版式设计中的应用 第2部分 出版物设计制作基本流程

1.掌握出版物设计的基本流程

2.了解出版物市场分析的基本要求

3.掌握调查问卷的设计要求 4.了解的设计心里需求

5.结合印刷工艺进行设计思考

6.掌握文字在排版过程中的应用

第3部分 InDesign 应用基础——软件基础

1.了解InDesign页面的参数设置

2.了解Photoshop、Illustrator、InDesign的文件进行软件间互调的方式

3.掌握InDesign 的4.InDesign的表格应用

第4部分InDesign 输出基础——印刷基础

1.了解RGB与CMYK色彩模式的差别和应用领域

2.掌握各种存储格式的特点

3.了解PDF 格式的特点及应用领域

4.了解InDesign 与印刷输出环节的协调关系 第5部分 画册设计方案

1.针对一个企业进行资料收集(也可以虚拟一个企业),为其设计和制作一本宣传画册的设计

2.详细记录整个设计制作过程,完成后对整个环节进行详细分析,将整个设计制作过程做成一本工作进展册

第6部分 书籍设计与制作方案

1.小型杂志的策划,针对一个虚拟项目(如旅游景点、一个学校)策划一本杂志的设计。内容包括市场调查规划、资料收集、杂志定位、设计制作以及输出等环节

2.详细记录整个设计制作过程,完成后对整个环节进行详细分析,将整个设计制作过程做成一本工作进展册

3.到制版公司、印刷厂了解InDesign 软件在输出环节的作用。

第四篇:数字逻辑教学大纲

数字逻辑教学大纲

课程主任:执笔人: 吕强开课单位:信息工程学院编写日期: 2008-2课程编码:课程中文名称: 数字逻辑课程英文名称: Digital Logic

课程类别:专业基础课

开课对象: 软件工程专业本科 开课学期: 第4学期 学分:3 ;总学时: 48;理论课学时:48

先修课程: 电路基础、模拟电子技术

基本教材:《现代数字逻辑》作者:马义忠 常蓬彬 关少颖编著 兰州大学出版社 200

2参 考 书:

【1】数字逻辑与计算机设计基础 刘真,蔡懿慈,毕才术

【2】数字系统逻辑设计曲兆瑞山东大学出版社

一、课程的性质、目的和任务

《数字逻辑》是软件工程专业的专业基础课之一,是该专业本科生必修的主干课程。数字逻辑课程阐明了数字逻辑电路的基本概念和分析设计方法,以门电路构成的逻辑电路的“经典方法”作为基本技能训练,提高以全加器、译码器、数据选择器、计数器、寄存器以及存储 器等较复杂的逻辑器件来构成更复杂的逻辑电路的分析与设计的能力。

二、课程的基本要求

本课程注重理论与实践相结合。在教学方法上,采用课堂讲授、课堂讨论、课后自学、上习题课等教学形式。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计,了解存储器和可编程逻辑器件的性能和特点。

三、课程的基本内容及学时分配

第一章 数制和码制(学时数:2)

1. 数制

十进制、二进制、八进制、十六进制和任意进制数制

2. 数制转换

二进制和八进制、二进制和十六进制、二进制和十进制。

3. 编码

原码、反码、补码、BCD码和字符代码。

教学要求

掌握数制,数制之间的转换,码制和编码

第二章 逻辑代数基础(学时数:6)

1. 逻辑代数基本概念

2. 逻辑代数基本定律

3. 逻辑函数的标准表达式和卡诺图

4. 逻辑函数的化简

教学要求

掌握逻辑代数基本定律和基本运算规律,逻辑函数的各种表达式,利用逻辑代数和卡诺图对逻辑函数进行化简。

第三章 TTL集成门电路(学时数:6)

1. TTL与非门

2. TTL集电极开路与非门

3. 三态输出与非门

4. 其他类型的TTL门电路

教学要求

了解TTL门电路的电路结构、工作原理和外部特性,掌握门电路的逻辑功能和外部特性。

第四章 组合逻辑电路(学时数:9)

1. 组合逻辑电路的分析方法

编码器、译码器数据选择器和分配器、奇偶检测电路、比较器、加法器。

教学要求

掌握组合逻辑电路的分析方法。

第五章 集成触发器(学时数:6)

1. 基本R-S触发器

2. 电位触发的触发器

3. 主从触发器

4. 边沿触发器

教学要求

掌握触发器的基本类型及状态描写,触发器的简单应用。

第六章 同步时序电路(学时数:6)

1. 时序电路的机构与描述

2. 同步时序电路的分析方法

3. 同步时序电路的设计方法

教学要求

掌握同步时序电路的分析和设计方法。

第七章 异步时序电路(学时数:6)

1. 脉冲异步时序电路的分析

2. 脉冲异步时序电路的设计

教学要求

掌握脉冲异步时序电路的特点和分析方法。

第八章 存储器和可编程逻辑器件,VHDL语言描述数字系统简介(学时数:7)

1. MOS门电路

2. 存储器

ROM、RAM

3.可编程逻辑器件

PLD、PAL、GAL

教学要求

掌握可编程逻辑器件的结构和编程过程。

3.VHDL语言描述数字系统简介

四、课内实验安排

见实验大纲

五、习题及课外教学要求

习题课以例题分析为主,并适当安排开阔思路及综合性的练习及讨论。学时已包括在前述理论教学课时分配中。每次课堂授课都要有相应的课外作业,其内容据上课内容而定,主要是目的是巩固课堂内容,加深对所学东西的理解。

六、考核方式及成绩评定

课外作业,平时测验占30%;期末闭卷考试占70%。

第五篇:数字逻辑与数字系统设计教学大纲

西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

“数字逻辑与数字系统设计”教学大纲

课程编号:OE2121017 课程名称:数字逻辑与数字系统设计

英文名称: Digital Logic and Digital

System Design 学

时:60

分:4 课程类型:必修

课程性质:专业基础课 适用专业:电子信息与通信工程(大类)

开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院

一、课程的教学任务与目标

数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。

要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA设计工具,培养学生设计较大规模的数字电路系统的能力。

本课程教学特点和主要目的:

(1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。

(2)使学生掌握经典的数字逻辑电路的基本概念和设计方法;(3)掌握当今EDA工具设计数字电路的方法。

(4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

过本课和实验教学, 使学生掌握新的数字系统设计技术.虽然现代设计人员已经很少使用传统的设计技术,但传统的设计可以让学生直观地了解数字电路是如何工作的,并可以为EDA设计工具所进行的操作提供说明,让学生进一步了解自动化设计技术的优点。

成功的逻辑电路设计人员必须深入理解数字逻辑设计相关的基本概念,并熟练掌握EDA设计工具的使用。

二、本课程与其它课程的联系和分工

数字逻辑与数字系统设计主要讨论集成电路器件的外部特性,对门电路内部晶体管的工作原理及状态转换只作定性了解。

数字逻辑与数字系统设计在学科基础中的地位既要体现作为一门课程的完整性和电子线路体系结构的特点,也要体现为后续课程服务的目的。后续的专业课程如计算机组成原理,微机原理、接口技术等都是数字电路系统高度集成的体现。数字电路与系统设计为微处理器与系统设计、嵌入式系统、数字通信等后续课程进行了基础知识准备。

三、课程内容及基本要求

(一)数制与编码(建议3学时)学习数制表示方法和常用编码 1.基本要求

(1)掌握常用数制(2、8、10、16进制数)的表示方法与相互转换方法

(2)掌握常用编码(842BCD码、5421BCD码、余3码、格雷码等)的表示方法 2.重点、难点

重点:二进制,十六进制 难点:格雷码的掌握

3.说明:主要掌握常用编码的表示方法

(二)逻辑代数与逻辑函数化简(建议10学时)

学习逻辑代数的基本运算及函数表示方式,了解逻辑函数的化简方法;学习硬件描述语言(HDL)描述逻辑函数的基本结构,熟悉逻辑函数与HDL之间的对应关系。1.基本要求

(1)熟练掌握基本逻辑运算与逻辑门

(2)了解逻辑代数的基本定理、法则和主要公式,了解逻辑函数代数化简法(3)掌握逻辑函数的标准表达式和常用的五种表达式及相互转换方法(4)能够用HDL描述真值表,熟悉逻辑函数的HDL表达方式(5)熟悉逻辑函数的卡诺图化简法

(6)掌握包含无关项逻辑函数的表示方法及化简方法 2.重点、难点

重点:逻辑函数的两种标准表示形式以及HDL表达方式 难点:五种表达式之间的相互转化 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

3.说明:5个变量以上的逻辑函数化简不作要求

(三)组合逻辑电路(建议10学时)

学习组合逻辑电路的分析方法和设计方法,学习组合逻辑的HDL描述方式 1.基本要求

(1)掌握组合电路的分析方法和设计方法

(2)熟悉组合逻辑电路的HDL设计方法,掌握设计流程图的绘制方法

(3)熟悉常用MSI组合逻辑部件(变量译码器、数据选择器)的逻辑功能,扩展方法及应用

(4)掌握译码器、数据选择器的HDL描述方式

(5)掌握由MSI器件构成组合电路的设计方法和分析方法(6)了解组合电路的竞争冒险现象及消除方法 2.重点、难点

重点:由门电路进行组合电路的设计 难点:中规模集成电路芯片应用

3.说明: 安排组合逻辑研究实验

(四)触发器(建议6学时)

学习触发器的工作原理和功能描述方法,学习触发器的HDL描述方法 1.基本要求

(1)掌握基本RS触发器及常用沿触发的(D、T、JK)触发器的逻辑功能及其描述方法(2)触发器的HDL描述方法(包括行为级描述和结构化描述)(3)熟悉常用集成触发器的逻辑符号及时序图的画法(4)掌握触发器的HDL描述方法中的沿触发与电平触发 2.重点、难点

重点: 触发器的多种描述方法

难点:触发器电路的HDL描述及时序波形

3.说明:触发器部分要求记忆逻辑符号掌握逻辑功能,对触发器内部电路不做要求,安排集成触发器实验。

(五)时序逻辑电路(建议16学时)

学习同步时序电路的分析方法和典型同步时序电路的设计方法,时序电路的HDL描述。1.基本要求

(1)掌握同步时序电路的分析方法,要求根据电路能正确列出状态表,画出状态及时序图并分析其功能

(2)了解同步时序电路的一般设计方法和步骤,掌握给定状态同步时序电路的设计方法

(3)掌握时序电路的HDL描述方法 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

(4)掌握典型MSI时序逻辑部件(74LS161、74LS194)的逻辑功能,扩展方法及应用(5)学习状态机的HDL描述方法,并掌握复杂时序逻辑电路的HDL描述方法(6)掌握以MSI为主的典型同步时序电路的分析方法与设计方法:

任意模值计数器;移位型计数器;序列码发生器(7)掌握典型时序电路的HDL描述方法

(8)了解异步时序电路的主要特点

2.重点、难点

重点:电路自启动自校正的设计;MSI时序逻辑部件的逻辑功能及应用 难点:时序逻辑点状态机HDL描述

3.说明:学习这一章后,要求能看懂器件手册,安排计数器和移位寄存器应用实验。

(六)集成逻辑门(建议3学时)1.基本要求

(1)了解典型TTL与非门的基本工作原理,掌握其主要外特性和参数(2)掌握集电极开路门和三态门的主要特点

(3)掌握MOS逻辑门(以CMOS为主)的主要特点和使用方法 2.重点、难点

重点:TTL与非门的主要外特性和参数 难点:集电极开路门

(七)脉冲波形的产生与整形(建议3学时)了解脉冲电路的分析方法 1.基本要求

(1)了解典型脉冲电路(单稳、多谐、施密特触发器)的基本特点及脉冲电路的分析方法

(2)掌握555定时器的基本工作原理及典型应用

(3)掌握晶体振荡器,施密特单稳集成电路的基本原理及使用方法。2.重点、难点 重点: 555定时器

难点:振荡电路性能提高需要考虑的因素 3.说明:安排脉冲电路的产生和整形实验。

(八)存贮器及可编程器件(建议4学时)1.基本要求

(1)掌握ROM的基本工作原理和几种不同的编程方法(2)了解静态RAM和动态RAM的基本工作原理

(3)了解可编程器件的内部结构特点, 可用资源, 主要参数和选型依据 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

(4)结合实验, 逐步掌握FPGA的仿真与设计技术 2.重点、难点

重点:ROM的基本工作原理, FPGA的仿真与设计技术 难点:ROM实现组成逻辑函数, FPGA的仿真与设计技术

(九)D/A和A/D(建议1学时)1.基本要求

(1)了解D/A和A/D转换器的基本原理和主要技术指标(2)了解典型集成D/A和A/D芯片的特点 2.重点、难点

重点:D/A和A/D转换器的主要技术指标 难点:D/A和A/D转换器的基本原理

四、布置大作业

综合设计(1)-----用VHDL设计一数字频率计(结合实验在FPGA上实现)综合设计(2)-----用VHDL设计一DDS信号发生器(结合实验在FPGA上实现)系统设计完成通过EDA软件仿真后,在FPGA系统上实现验证,期间安排两次讨论。第一次是设计方案评审和讲评,第二次的实现结果报告和讲评。

五、教学安排及方式

总学时 60 学时,讲课 56 学时。讨论4学时。实验单独开课,大作业采用开放式实验方式利用课外时间进行。

六、考核方式

1.期末笔试(以闭卷考试为主,也可开卷考试或半开卷半闭卷考试)占60% , 2.大作业----综合设计占30%, 3.平时成绩占10%.七、推荐教材与参考资料

教材:

(1)新编: 任爱锋, 孙万蓉, 周端等

(2)杨颂华等 数字电子技术基础 西安 西安电子科技大学出版社 2009 参考书:

(1)夏宇闻等译 数字逻辑基础与Verilog设计 机械工业出版社

(2)John F.Wakerly 数字设计——原理与实践(第三版 影印版)高等教育出版社

西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

下载《数字逻辑系统设计》教学大纲(电信专)(共五则范文)word格式文档
下载《数字逻辑系统设计》教学大纲(电信专)(共五则范文).doc
将本文档下载到自己电脑,方便修改和收藏,请勿使用迅雷等下载。
点此处下载文档

文档为doc格式


声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:645879355@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。

相关范文推荐

    数字逻辑设计及应用教学大纲

    《电子信息工程》专业教学大纲 《数字逻辑设计及应用》课程教学大纲 课程编号:53000540 学时:64 学分:4 课外上机:16学时 先修课程:《高等数学》、《电路分析基础》、《模拟电路......

    《数字电子技术基础教学大纲》

    6040201 《数字电子技术基础》教学大纲 适用专业及层次:电子信息工程本科和通信工程本科(4学分) 推荐教材及参考书: 逻辑与数字系统设计,第1版,李晶皎,清华大学出版社,2008 数字电子......

    《数字电子技术基础》教学大纲

    《数字电子技术基础》课程教学大纲 (供五年制生物医学工程专业使用) 医学信息学院智能医疗与物联网教研室编写 2014年9月 前 言 一、本课程的学科性质、学科主要内容及特点 《......

    《数字逻辑电路》课程教学大纲(精选合集)

    《数字逻辑电路》课程教学大纲 第一章 数制与编码 在数字电路和计算机中,只用0和1两种符号来表示欣喜,参与运算的数也是由0和1构成的,即二进制数。考虑到人类计数习惯,在计算机......

    金工实习教学大纲专

    金工实习实验教学大纲 ◆课程编号: ◆英文名称:Practise of Metal Technics ◆适用专业: ◆总 学 时:40学时,其中理论教学2学时,实践操作38学时。 ◆参考教材: 一、课程简介 金工实......

    电信政府携手数字企业

    电信政府携手“数字企业”建设初显成效 今年,与中国电信延边分公司联合开展“数字企业”建设活动,以中小企业软、硬件基础资源和数据资源为支撑,以提升中小企业经营和管理能力......

    数字逻辑设计及应用课程教学大纲

    《数字逻辑设计及应用》课程教学大纲 课程编号:53000540 适用专业:电子信息类专业(包括通信工程、网络工程、信息工程、电子信息工程、信息对抗技术、电磁场与天线技术、电波......

    北京科技大学数字电子技术-教学大纲

    《数字电子技术(双语)》教学大纲 课程编号:2050115 开课院系:自动化学院电工电子技术系 课程类别:学科基础必修 适用专业:自动化、计算机、物理等 课内总学时:48 学分:3 实验学时:0......